发明名称 Method for line density multiplication using block copolymers and sequential infiltration synthesis
摘要 Block copolymers (BCPs) and synthetic infiltration synthesis (SIS) are used to double the line density on a substrate. The BCP comprises first and second interconnected BCP components with a functional group at the junction or interface of the components. After deposition of the BCP on the substrate and annealing, a pattern of parallel stripes of first and second BCP components is formed with a pattern of functional group interfaces between the components. Each of the BCP components is non-reactive with atomic layer deposition (ALD) precursors, while the functional group is reactive with the ALD precursors. The ALD results in the infiltration of inorganic material into the interfaces where the reactive functional groups are located but without affecting the BCP components. After removal of the organic material, a pattern of parallel lines of inorganic material remains with a pitch half that of the stripes of BCP components.
申请公布号 US9416447(B2) 申请公布日期 2016.08.16
申请号 US201414174939 申请日期 2014.02.07
申请人 HGST Netherlands B.V. 发明人 Arora Hitesh;Ruiz Ricardo
分类号 C23C16/22;C23C16/40;C23C16/455;G03F7/00;H01L21/027;H01L21/033;H01L21/308 主分类号 C23C16/22
代理机构 代理人 Berthold Thomas R.
主权项 1. A method using a block copolymer (BCP) for line density multiplication on a substrate comprising: providing a substrate; depositing on the substrate a sublayer for the BCP; patterning the sublayer; forming on the patterned sublayer a BCP, the BCP comprising first and second BCP components and a functional group at the interfaces of the first and second components, the BCP being directed by the patterned sublayer to self-assemble into said first and second components and interfaces of said functional group between said first and second components; placing the substrate with said self-assembled first and second components and said functional group interfaces in an atomic layer deposition (ALD) chamber; introducing into the ALD chamber a first precursor for an inorganic material, said first precursor being non-reactive with said first and second components and reactive with said functional group; and introducing into the ALD chamber a second precursor for said inorganic material, said second precursor being non-reactive with said first and second components and reactive with said first precursor to form said inorganic material in the interfaces between said first and second components; and wherein the first and second components self-assemble into a pattern of alternating first and second stripes with a natural pitch L0, and wherein the inorganic material in the interfaces forms a pattern of lines having a pitch of approximately 0.5 L0.
地址 Amsterdam NL