发明名称 Replacement gate process
摘要 An integrated circuit containing metal replacement gates may be formed by forming a CMP stop layer over sacrificial gates, and forming a dielectric fill layer over the CMP stop layer. Dielectric material from the dielectric fill layer is removed from over the sacrificial gates using a CMP process which exposes the CMP stop layer over the sacrificial gates but does not expose the sacrificial gates. The CMP stop layer is removed from over the sacrificial gates using a plasma etch process. In one version, the plasma etch process may be selective to the CMP stop layer. In another version, the plasma etch process may be a non-selective etch process. After the sacrificial gates are exposed by the plasma etch process, the sacrificial gates are removed and the metal replacement gates are formed.
申请公布号 US9385044(B2) 申请公布日期 2016.07.05
申请号 US201314142144 申请日期 2013.12.27
申请人 TEXAS INSTRUMENTS INCORPORATED 发明人 Lii Tom
分类号 H01L21/336;H01L21/28;H01L21/321;H01L21/3213;H01L21/8234;H01L29/423;H01L29/49;H01L29/51;H01L29/66;H01L29/78;H01L21/3105;H01L21/311 主分类号 H01L21/336
代理机构 代理人 Garner Jacqueline J.;Cimino Frank D.
主权项 1. A method of forming an integrated circuit, comprising the steps: providing a substrate comprising semiconductor material; forming a gate dielectric layer over said substrate; forming sacrificial gates over said gate dielectric layer; forming a chemical mechanical polish (CMP) stop layer over said sacrificial gates; forming a dielectric fill layer over said CMP stop layer, said dielectric fill layer filling spaces between said sacrificial gates; performing a first oxide CMP process which removes dielectric material from said dielectric fill layer, planarizes a top surface of said dielectric fill layer and exposes said CMP stop layer over said sacrificial gates without removing material from said sacrificial gates; performing a selective plasma etch process which removes said CMP stop layer and so as to expose said sacrificial gates, wherein said selective plasma etch process removes material from said CMP stop layer at least twice as quickly as material from said dielectric fill layer; removing said sacrificial gates to form gate cavities; performing a second oxide CMP process which lowers a top surface of said dielectric fill layer without removing material from said sacrificial gates, after said step of performing said selective plasma etch process which removes said CMP stop layer and prior to said step of removing said sacrificial gates; and forming replacement metal gates in said gate cavities.
地址 Dallas TX US
您可能感兴趣的专利