发明名称 Photodetector methods and photodetector structures
摘要 Disclosed are a method of forming a photodetector and a photodetector structure. In the method, a polycrystalline or amorphous light-absorbing layer is formed on a dielectric layer such that it is in contact with a monocrystalline semiconductor core of an optical waveguide. The light-absorbing layer is then encapsulated in one or more strain-relief layers and a rapid melting growth (RMG) process is performed to crystallize the light-absorbing layer. The strain-relief layer(s) are tuned for controlled strain relief so that, during the RMG process, the light-absorbing layer remains crack-free. The strain-relief layer(s) are then removed and an encapsulation layer is formed over the light-absorbing layer (e.g., filling in surface pits that developed during the RMG process). Subsequently, dopants are implanted through the encapsulation layer to form diffusion regions for PIN diode(s). Since the encapsulation layer is relatively thin, desired dopant profiles can be achieved within the diffusion regions.
申请公布号 US9466753(B1) 申请公布日期 2016.10.11
申请号 US201514837812 申请日期 2015.08.27
申请人 GLOBALFOUNDRIES INC. 发明人 Ellis-Monaghan John J.;Hall John C. S.;Khater Marwan H.;Kiewra Edward W.;Shank Steven M.
分类号 H01L31/18;H01L31/103;H01L31/0203;H01L31/028;H01L27/144 主分类号 H01L31/18
代理机构 Gibb & Riley, LLC 代理人 Gibb & Riley, LLC ;LeStrange, Esq. Michael J.
主权项 1. A method comprising: forming a dielectric layer on a monocrystalline semiconductor layer; forming an opening in the dielectric layer, the opening exposing a portion of the monocrystalline semiconductor layer; forming a light-absorbing layer on the dielectric layer and on the monocrystalline semiconductor layer within the opening, the light-absorbing layer being amorphous or polycrystalline and having a melting temperature; forming one or more strain-relief layers over the light-absorbing layer; performing a heating process so as to heat the light-absorbing layer to the melting temperature, the light-absorbing layer becoming monocrystalline following the heating process; removing at least one strain-relief layer; forming an encapsulation layer over the light-absorbing layer; and, after the forming of the encapsulation layer, performing ion implantation processes in order to form at least one diode in the light-absorbing layer.
地址 Grand Cayman KY