发明名称 Method and system for performing distributed timing signoff and optimization
摘要 Disclosed is an improved approach to implement timing signoff and optimization. Integrated MMMC timing closure functionality is provided in a single software session. The system provides the capability to perform signoff analysis, debugging, ECO, and TSO optimization for a large number of MMMC views in single software session.
申请公布号 US9633159(B1) 申请公布日期 2017.04.25
申请号 US201514701193 申请日期 2015.04.30
申请人 Cadence Design Systems, Inc. 发明人 Parikh Vipul;Bharat Lalit;Siddique Shagufta;Sethia Prashant;Kumar Naresh
分类号 G06F17/50 主分类号 G06F17/50
代理机构 Vista IP Law Group, LLP 代理人 Vista IP Law Group, LLP
主权项 1. A method for processing an electronic circuit design, comprising: starting, at a master processing entity comprising at least a micro-processor and stored at least partially in memory, a single integrated session to perform timing signoff on an electronic design, wherein the single integrated session is initiated by the master processing entity, and the single integrated session corresponds to a common network communications session established by the master processing entity and accessed by a plurality of client entities; reducing constraint data for timing signoff at least by polling, by the master processing entity, the plurality of client entities for a plurality of modes that are active for the plurality of client entities and by writing a reduced set of constraint data for the plurality of modes to the plurality of client entities; processing at least one MMMC (multi-mode multi-corner) view for the electronic design with the reduced set of constraint data to generate analysis data at the plurality of client entities that are started by the master processing entity and connected to the common network communications session of the single integrated session; and performing the timing signoff at the master processing entity in the single integrated session, without requiring clients to perform a multi-pass process that runs one or more EDA (electronic design automation) application programs in multiple sessions, wherein performing the timing signoff is implemented using the analysis data received from the plurality of client entities resulting from processing the at least one MMMC view, and the one or more EDA application programs stored on one or more servers.
地址 San Jose CA US