发明名称 RECONFIGURING EXECUTION PIPELINES OF OUT-OF-ORDER (OOO) COMPUTER PROCESSORS BASED ON PHASE TRAINING AND PREDICTION
摘要 Reconfiguring execution pipelines of out-of-order (OOO) computer processors based on phase training and prediction is disclosed. In one aspect, a pipeline reconfiguration circuit is communicatively coupled to an execution pipeline providing multiple selectable pipeline configurations. The pipeline reconfiguration circuit generates a phase identifier (ID) for a phase based on a preceding phase. The phase ID is used as an index into an entry of a pipeline configuration prediction (PCP) table to determine whether training for the phase is ongoing. If so, the pipeline reconfiguration circuit performs multiple training cycles, each employing a pipeline configuration from the selectable pipeline configurations for the execution pipeline, to determine a preferred pipeline configuration for the phase. If training for the phase is complete, the pipeline reconfiguration circuit reconfigures the execution pipeline into the preferred pipeline configuration indicated by the entry before the phase is executed.
申请公布号 US2017090930(A1) 申请公布日期 2017.03.30
申请号 US201514863755 申请日期 2015.09.24
申请人 QUALCOMM Incoporated 发明人 Priyadarshi Shivam;Krishna Anil;Damodaran Raguram
分类号 G06F9/30;G06F9/38 主分类号 G06F9/30
代理机构 代理人
主权项 1. A pipeline reconfiguration circuit of an out-of-order (OOO) computer processor, the pipeline reconfiguration circuit comprising a pipeline configuration prediction (PCP) table comprising a plurality of entries, and communicatively coupled to an execution pipeline that provides a plurality of selectable pipeline configurations; and the pipeline reconfiguration circuit configured to, for each phase of a plurality of phases of committed instructions within the execution pipeline: generate a phase identifier (ID) for a preceding phase immediately prior to the phase;determine whether a training state indicator of an entry corresponding to the phase ID among the plurality of entries of the PCP table indicates that training for the phase is ongoing;responsive to determining that the training state indicator for the entry indicates that training for the phase is ongoing, perform a plurality of training cycles each using a pipeline configuration selected from among the plurality of selectable pipeline configurations of the execution pipeline to determine a preferred pipeline configuration; andresponsive to determining that the training state indicator for the entry indicates that training for the phase is not ongoing, reconfigure the execution pipeline into the preferred pipeline configuration indicated by the entry.
地址 San Diego CA US