发明名称 Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
摘要 Methodology and system for using vacuum pods to store/transport semiconductor wafers to efficiently reduce contamination of the wafers while reducing cost, cycle time, and process steps and tools without the need for a complete reconfiguration of processes/tools in the fabrication facility are disclosed. Embodiments include configuring a wafer pod with an isolated vacuum environment for storing and transporting semiconductor wafers; configuring a load port module with an isolated vacuum environment to interface with the wafer pod; transporting the wafer pod, including semiconductor wafers, to interlock with the load port module; creating a merged vacuum environment including the isolated vacuum environments of the wafer pod and the load port module; increasing a pressure level in the merged vacuum environment for creating a merged ambient atmospheric environment; and transferring the semiconductor wafers through a transfer chamber, with an isolated environment, from the load port module to a semiconductor wafer processing device.
申请公布号 US9564350(B1) 申请公布日期 2017.02.07
申请号 US201514858475 申请日期 2015.09.18
申请人 GLOBALFOUNDRIES INC. 发明人 Fosnight William;Waite Stephanie
分类号 H01L21/67;H01L21/677;B25J11/00 主分类号 H01L21/67
代理机构 Ditthavong & Steiner, P.C. 代理人 Ditthavong & Steiner, P.C.
主权项 1. A method comprising: configuring a wafer pod with an isolated vacuum environment for storing and transporting semiconductor wafers; configuring a load port module with an isolated vacuum environment to interface with the wafer pod; transporting the wafer pod, including semiconductor wafers, to interlock with the load port module; creating a merged vacuum environment including the isolated vacuum environments of the wafer pod and the load port module; increasing a pressure level in the merged vacuum environment for creating a merged ambient atmospheric environment; and transferring the semiconductor wafers through a transfer chamber, with an isolated environment, from the load port module to a semiconductor wafer processing device, wherein the transfer chamber is separate and distinct from the load port module.
地址 Grand Cayman KY
您可能感兴趣的专利