发明名称 Mechanisms for monitoring impurity in high-K dielectric film
摘要 Embodiments of mechanisms of monitoring metal impurity in a high-k dielectric film are provided. The method includes forming an interfacial layer over a substrate. The method also includes forming a high-k dielectric film on the interfacial layer, and the interfacial layer and the high-k dielectric film form a stacked structure over the substrate. The method further includes conducting the first thickness measurement on the stacked structure. In addition, the method includes performing a treatment to the stacked structure after the first thickness measurement, and the treatment includes an annealing process. The method also includes conducting the second thickness measurement on the stacked structure after the treatment.
申请公布号 US9553160(B2) 申请公布日期 2017.01.24
申请号 US201314049657 申请日期 2013.10.09
申请人 TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. 发明人 Chen Wei-Jen;Chen Yen-Yu;Lee Chang-Sheng;Zhang Wei
分类号 H01L21/66;G01R31/26;H01L29/49;H01L29/51;H01L21/28 主分类号 H01L21/66
代理机构 Birch, Stewart, Kolasch & Birch, LLP 代理人 Birch, Stewart, Kolasch & Birch, LLP
主权项 1. A method for in-line monitoring metal impurity in a high-k dielectric film of a semiconductor device, comprising: during in-line operations, obtaining a first thickness by conducting a first thickness measurement on a stacked structure over a substrate prior to an annealing treatment, the stacked structure comprising an interfacial layer disposed over the substrate and a high-K dielectric film stacked over the interfacial layer, each of the interfacial layer and the high-K film having substantially uniform thickness, wherein the high-K dielectric film contains intrinsic metal impurity; performing annealing treatment over the stacked structure without substantially altering thickness uniformity thereof to cause metal impurity diffusion between the high-K dielectric film and the interfacial layer and a generation of a re-growing layer there-between; obtaining a second thickness by conducting a second thickness measurement on the stack structure; obtaining a thickness difference between the first thickness and the second thickness, wherein the thickness difference is the thickness of the regrown layer; performing a threshold condition check of metal impurity concentration by positively linearly correlating the thickness difference with a metal impurity concentration profile obtained by previously collected data or historical data from ICP-mass spectrometry; and forming a gate electrode layer over and in direct contact with the high-k dielectric film if the metal impurity is within a threshold condition, wherein the threshold condition is when the thickness of the regrown layer is less than 2 Å and the concentration of the metal impurity is less than 4.5E10 atoms/cm2.
地址 Hsin-Chu TW