发明名称 Aging-aware routing for NoCs
摘要 For aging-aware routing, an aging module calculates an aging score for links and routers in a Network-on-Chip for a previous epoch. A routing module dynamically routes a flow through the links and the routers to satisfy routing criteria including a least total aging score for the links and the routers of the flow.
申请公布号 US9344358(B2) 申请公布日期 2016.05.17
申请号 US201313793904 申请日期 2013.03.11
申请人 Utah State University 发明人 Bhardwaj Kshitij;Chakraborty Koushik;Roy Sanghamitra
分类号 H04L12/721;H04L12/933;H04L12/755;G06F11/20;H04W40/24 主分类号 H04L12/721
代理机构 代理人
主权项 1. A method comprising: calculating a Traffic Threshold per Epoch (TTpE) for each link or routers of a plurality of links and routers in a Network-on-Chip (NoC) for a previous epoch before a current epoch in order to determine a fraction of nominal traffic to be accepted at each link or router of the plurality of links and routers during the current epoch, wherein calculating the TTpE for each link or router comprises: calculating a threshold voltage change as a function of a number of interface traps per unit area and a capacitance of a metal-oxide semiconductor gate of a respective link or router;calculating a delay variation for the respective link or router as a function of a ratio of a largest variation of performance delays of communicated signals for all critical paths of the respective link or router and a threshold voltage for the respective link or router and further as a function of the threshold voltage change for the metal-oxide semiconductor date of the respective link or router;calculating the TTpE for the respective link or router as a function of a ratio of the delay variation for the link or router to a maximum delay variation for all links and routers in the NoC; and routing a flow through the plurality of links and the routers to satisfy routing criteria comprising a least total calculated TTpE for the plurality of links and the routers of the flow.
地址 North Logan UT US