发明名称 Sidewall image transfer process for fin patterning
摘要 A method of using sidewall image transfer (SIT) process to pattern fin structures is provided. The method includes providing a fin-patterning substrate containing a first hard-mask layer and a second hard-mask layer over a semiconductor substrate. Trench openings formed on the semiconductor substrate extending vertically through the first hard-mask layer and the second hard-mask layer. Trench openings are filled with a third hard-mask material. The second hard-mask layer is removed to reveal hard-mask mandrels. First sidewall spacers are formed on the opposite sides of the hard-mask mandrels using atomic layer deposition (ALD) process. The semiconductor substrate is etched using the first sidewall spacers and the hard-mask mandrels as mask, subsequently the spacers, the mandrels and the hard-mask layer are removed to reveal fin structures. The method of the present invention is to form fins at a very tight fin pitch by using the very tight thickness controllability of ALD process. By repeating the ALD step twice or more to form multiple SIT spacers the fin pitch size can be reduced further. The inventive method is suitable for fabricating tight fin pitch to less than about 20 nm.
申请公布号 US9287135(B1) 申请公布日期 2016.03.15
申请号 US201514721430 申请日期 2015.05.26
申请人 International Business Machines Corporation 发明人 Doris Bruce B.;He Hong;Kanakasabapathy Sivananda K.;Reznicek Alexander
分类号 H01L21/31;H01L21/30;H01L21/311;H01L21/02;H01L21/321 主分类号 H01L21/31
代理机构 代理人 Huang Wu-Song;Cai Yuanmin
主权项 1. A method for fin patterning comprising: providing a fin-patterning substrate comprising a first hard-mask layer and a second hard-mask layer over a semiconductor substrate, wherein the second hard-mask layer is on top of the first hard-mask layer; forming a plurality of trench openings on the semiconductor substrate extending vertically through the first hard-mask layer and the second hard-mask layer; filling the plurality of trench openings with a third hard-mask material to form a plurality of hard-mask mandrels; removing the second hard-mask layer to reveal the plurality of hard-mask mandrels protruding above the first hard-mask layer over the semiconductor substrate; forming a layer of first spacer material covering top of the first hard-mask layer under openings which are formed after the removing the second hard-mask layer, and covering top and sides of the plurality of hard-mask mandrels; removing first spacer material from the top of the first hard-mask layer and the top of the hard-mask mandrels, and from sides on two line ends of each hard-mask mandrel to form a plurality of first sidewall spacers; etching through the first hard-mask layer under openings, which are located directly on top of the first hard-mask layer and are on areas where the first spacer material is removed, into the semiconductor substrate to form fin structures under the first sidewall spacers; and removing the plurality of hard-mask mandrels, the plurality of first sidewall spacers and the first hard-mask layer to reveal the fin structures.
地址 Armonk NY US