发明名称 METHODS FOR FABRICATING INTEGRATED CIRCUITS INCLUDING GENERATING PHOTOMASKS FOR DIRECTED SELF-ASSEMBLY (DSA) USING DSA TARGET PATTERNS
摘要 Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes generating a photomask for forming a DSA directing pattern overlying a semiconductor substrate. The DSA directing pattern is configured to guide a self-assembly material deposited thereon that undergoes directed self-assembly (DSA) to form a DSA pattern. Generating the photomask includes identifying placement of DSA target patterns in a design layout. The DSA target patterns are grouped into groups including a first group and a first group boundary is defined around the first group. The method further includes determining if a neighboring DSA target pattern to the first group boundary is at least a predetermined minimal keep-away distance from an adjacent DSA target pattern that is within the first group boundary. The method also includes determining if the DSA target patterns in the first group are DSA compatible. An output mask pattern is generated using the first group boundary.
申请公布号 US2015339429(A1) 申请公布日期 2015.11.26
申请号 US201414285739 申请日期 2014.05.23
申请人 GLOBALFOUNDRIES, Inc. 发明人 Zou Yi;Wang Wei-Long;Latypov Azat;Coskun Tamer
分类号 G06F17/50 主分类号 G06F17/50
代理机构 代理人
主权项 1. A method for fabricating an integrated circuit comprising: using a computing system, generating a photomask for forming a DSA directing pattern overlying a semiconductor substrate, wherein the DSA directing pattern is configured to guide a self-assembly material deposited thereon that undergoes directed self-assembly (DSA) to form a DSA pattern, and wherein generating the photomask comprises: identifying placement of DSA target patterns in a design layout;grouping the DSA target patterns into groups including a first group and defining a first group boundary around the first group;determining whether or not a neighboring DSA target pattern that is positioned adjacent to and outside of the first group boundary is at least a predetermined minimal keep-away distance from an adjacent DSA target pattern that is within the first group boundary and that is a closest one of the DSA target patterns in the first group to the neighboring DSA target pattern;determining whether or not the DSA target patterns in the first group are DSA compatible when the neighboring DSA target pattern is at least the predetermined minimal keep-away distance from the adjacent DSA target pattern; andgenerating an output mask pattern using the first group boundary when the DSA target patterns in the first group are DSA compatible.
地址 Grand Cayman KY US