发明名称 PECVD deposition of smooth silicon films
摘要 Smooth silicon films having low compressive stress and smooth tensile silicon films are deposited by plasma enhanced chemical vapor deposition (PECVD) using a process gas comprising a silicon-containing precursor (e.g., silane), argon, and a second gas, such as helium, hydrogen, or a combination of helium and hydrogen. Doped smooth silicon films and smooth silicon germanium films can be obtained by adding a source of dopant or a germanium-containing precursor to the process gas. In some embodiments dual frequency plasma comprising high frequency (HF) and low frequency (LF) components is used during deposition, resulting in improved film roughness. The films are characterized by roughness (Ra) of less than about 7 Å, such as less than about 5 Å as measured by atomic force microscopy (AFM), and a compressive stress of less than about 500 MPa in absolute value. In some embodiments smooth tensile silicon films are obtained.
申请公布号 US9117668(B2) 申请公布日期 2015.08.25
申请号 US201213478999 申请日期 2012.05.23
申请人 Novellus Systems, Inc. 发明人 Hollister Alice;Reddy Sirish;Fox Keith;Sriram Mandyam;Womack Joe
分类号 H01L21/20;H01L21/02;H01L27/115 主分类号 H01L21/20
代理机构 Weaver Austin Villeneuve & Sampson LLP 代理人 Weaver Austin Villeneuve & Sampson LLP
主权项 1. A method for forming a smooth silicon film on a semiconductor substrate in a plasma-enhanced chemical vapor deposition (PECVD) apparatus comprising a PECVD process chamber, the method comprising: supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing precursor, argon, and helium, wherein the silicon-containing precursor is silane; and depositing a smooth silicon film on the semiconductor substrate, the depositing comprising: (a) flowing the process gas to the PECVD process chamber wherein the flow rate of silane is 0.05-12% of the total process gas flow rate, the flow rate of argon is 16-84% of the total process gas flow rate, and the flow rate of helium is 25-83% of the total process gas flow rate;(b) forming a plasma using both high frequency (HF) and low frequency (LF) plasma generation, wherein the LF power is 17-80% of the total (HF and LF) power; and(c) maintaining a deposition temperature of 350-550° C. and maintaining a pressure of 2-6 Torr in the PECVD process chamber;wherein the deposited smooth silicon film is characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, or wherein the deposited smooth silicon film is tensile and is characterized by Ra of less than about 7 Å.
地址 Fremont CA US