发明名称 Method for keyhole repair in replacement metal gate integration through the use of a printable dielectric
摘要 A method of fabricating a FET device is provided that includes the following steps. A wafer is provided. At least one active area is formed in the wafer. A plurality of dummy gates is formed over the active area. Spaces between the dummy gates are filled with a dielectric gap fill material such that one or more keyholes are formed in the dielectric gap fill material between the dummy gates. The dummy gates are removed to reveal a plurality of gate canyons in the dielectric gap fill material. A mask is formed that divides at least one of the gate canyons, blocks off one or more of the keyholes and leaves one or more of the keyholes un-blocked. At least one gate stack material is deposited onto the wafer filling the gate canyons and the un-blocked keyholes. A FET device is also provided.
申请公布号 US9087916(B2) 申请公布日期 2015.07.21
申请号 US201514594745 申请日期 2015.01.12
申请人 International Business Machines Corporation 发明人 Chang Josephine B.;Guillorn Michael A.;Sleight Jeffrey W.
分类号 H01L21/8238;H01L21/8234;H01L21/84;H01L29/66;H01L29/16;H01L21/027;H01L21/02 主分类号 H01L21/8238
代理机构 Michael J. Chang, LLC 代理人 Percello Louis J.;Michael J. Chang, LLC
主权项 1. A method of fabricating a field effect transistor (FET) device, the method comprising the steps of: providing a wafer; forming at least one active area in the wafer; forming a plurality of dummy gates over the active area, wherein the dummy gates cover portions of the active area that serve as channel regions of the device and wherein portions of the active area that extend out from under the dummy gates serve as source and drain regions of the device; filling spaces between the dummy gates with a dielectric gap fill material such that one or more keyholes defined by a gap are formed in the dielectric gap fill material, and wherein the keyholes are present in between the dummy gates and extend parallel to the dummy gates through the dielectric gap fill material; removing the dummy gates to reveal a plurality of gate canyons in the dielectric gap fill material; forming a mask that i) is perpendicular to the gate canyons and divides at least one of the gate canyons, ii) blocks off one or more of the keyholes by filling at least a portion of one or more of the keyholes; and depositing at least one gate stack material onto the wafer filling the gate canyons and the un-blocked keyholes, wherein the gate stack material deposited into the gate canyons serves as replacement gates of the device and the gate stack material deposited into the un-blocked keyholes serves as interconnect structures of the device.
地址 Armonk NY US