发明名称 Method and system for controlling a spike anneal process
摘要 Provided is a method and system for controlling a spike anneal process on a substrate, comprising selecting one or more objectives, one or more absorbance layers, a technique of modifying absorption of the selected one or more absorbance layers, one or more wavelengths used in a heating device. A substrate modified with the selected technique of modifying absorption is provided. The spike anneal process is performed on the substrate using the selected heating device and selected spike anneal process variables. One or more of the spike anneal process variables, the selected technique of the modifying absorption, the selected one or more wavelengths, and/or the selected heating device are adjusted in order to meet the one or more objectives of the spike anneal process.
申请公布号 US9085045(B2) 申请公布日期 2015.07.21
申请号 US201213662524 申请日期 2012.10.28
申请人 Tokyo Electron Limited 发明人 Scheer Steven;Carcasi Michael A.
分类号 H01L21/00;H01L21/20;H01L21/36;H01L21/44;B23K26/00;H01L21/268;H01L21/324;H01L21/67 主分类号 H01L21/00
代理机构 Wood, Herron & Evans, LLP 代理人 Wood, Herron & Evans, LLP
主权项 1. A method of controlling a spike anneal process on a substrate, comprising: selecting one or more objectives for a spike anneal application directed to a substrate having a photolithography stack formed thereon, the photolithography stack including a resist layer, one or more layers underneath the resist layer selected from a bottom anti-reflective coating (BARC), a Si-containing anti-reflective coating (SiARC), an organic planarization layer (OPL), and an underlayer (UL), and optionally one or more layers above the resist layer selected from a top anti-reflective coating (TARC) and a sacrificial layer; selecting one or more absorbance layers for the spike anneal application from the photolithography stack; selecting a technique for modifying absorption of the selected one or more absorbance layers to have a standard absorption or no absorption at a first wavelength critical for a lithography process to be performed on the substrate and high absorption at one or more secondary wavelengths different than the first wavelength; selecting a process wavelength and a heating device operable at the process wavelength for performing the spike anneal process, wherein the process wavelength is selected from the one or more secondary wavelengths; providing the substrate with the modified one or more absorbance layers; performing a spike anneal process on the substrate with the modified one or more absorbance layers using the heating device at the process wavelength and using selected spike anneal process variables; and adjusting one or more of the spike anneal process variables, the selected technique for modifying absorption, the selected process wavelength, and/or the selected heating device in order to meet the one or more objectives of the spike anneal application.
地址 Tokyo JP