发明名称 Control of switching between execution mechanisms
摘要 A data processing apparatus 2 includes a first execution mechanism 4, such as an out-of-order processing circuitry, and a second execution mechanism 6 such as an in-order processing circuitry. Switching control circuitry 24 controls switching between which of the first execution circuitry 4 and the second execution circuitry 6 is active at a given time. Latency indicating signals indicative of the latency associated with a candidate switching operation to be performed are supplied to the switching control circuitry 24 and used to control the switching operation. The control of the switching operation may be to accelerate the switching operation, prevent the switching operation, perform early architectural state data transfer or other possibilities.
申请公布号 GB201508774(D0) 申请公布日期 2015.07.01
申请号 GB20150008774 申请日期 2015.05.22
申请人 REGENTS OF THE UNIVERSITY OF MICHIGAN, THE 发明人
分类号 主分类号
代理机构 代理人
主权项
地址