发明名称 Nanowire capacitor for bidirectional operation
摘要 A method of fabricating an electronic device includes the following steps. At least one first set and at least one second set of nanowires and pads are etched in an SOI layer of an SOI wafer. A first gate stack is formed that surrounds at least a portion of each of the first set of nanowires that serves as a channel region of a capacitor device. A second gate stack is formed that surrounds at least a portion of each of the second set of nanowires that serves as a channel region of a FET device. Source and drain regions of the FET device are selectively doped. A first silicide is formed on the source and drain regions of the capacitor device that extends at least to an edge of the first gate stack. A second silicide is formed on the source and drain regions of the FET device.
申请公布号 US9064942(B2) 申请公布日期 2015.06.23
申请号 US201313751490 申请日期 2013.01.28
申请人 International Business Machines Corporation 发明人 Bangsaruntip Sarunya;Majumdar Amlan;Sleight Jeffrey W.
分类号 H01L21/77;H01L29/06;H01L49/02;H01L21/84;H01L27/12;B82Y40/00;H01L27/06 主分类号 H01L21/77
代理机构 Michael J. Chang, LLC 代理人 Percello Louis J.;Michael J. Chang, LLC
主权项 1. A method of fabricating an electronic device, comprising: providing a SOI wafer having an SOI layer over a BOX; etching at least one first set of nanowires and first set of pads in the SOI layer and at least one second set of nanowires and second set of pads in the SOI layer, wherein the first set of pads are attached at opposite ends of the at least one first set of nanowires in a ladder-like configuration and wherein the second set of pads are attached at opposite ends of the at least one second set of nanowires in another a ladder-like configuration; forming a first gate stack that surrounds at least a portion of each of the at least one first set of nanowires that serves as a channel region of a capacitor device, wherein portions of the at least one first set of nanowires extending out from the first gate stack and the first set of pads serve as source and drain regions of the capacitor device; forming a second gate stack that surrounds at least a portion of each of the at least one second set of nanowires that serves as a channel region of a field effect transistor (FET) device, wherein portions of the at least one second set of nanowires extending out from the second gate stack and the second set of pads serve as source and drain regions of the FET device; selectively doping the source and drain regions of the FET device; performing a first deposition of at least one metal selectively on only the source and drain regions of the capacitor device; performing a second deposition of the at least one metal concurrently on both i) the source and drain regions of the capacitor device and ii) the source and drain regions of the FET device such that the at least one metal is deposited on the source and drain regions of the capacitor device in multiple deposition steps; forming a first silicide with the at least one metal on the source and drain regions of the capacitor device that extends at least to an edge of the first gate stack; and forming a second silicide with the at least one metal on the source and drain regions of the FET device.
地址 Armonk NY US