发明名称 Method and system to fix early mode slacks in a circuit design
摘要 An improved method for fixing an early mode slack in a hierarchically designed hardware device with at least one source macro, an integration unit and at least one sink macro comprises: Loading hardware design timing data to determine pins where an early mode slack fix can be applied to fix an early mode slack; for each such pin determining a location across the design hierarchy for the early mode slack fix by calculating a weight value for each of a selection of fix locations of the early mode slack based on absolute values of arrival times of data signals, ratio and difference between arrival times of late mode data signals and early mode data signals; and assigning the early mode slack fix to the determined location based on said weight value.
申请公布号 US9058456(B2) 申请公布日期 2015.06.16
申请号 US201314035125 申请日期 2013.09.24
申请人 International Business Machines Corporation 发明人 Haller Wilhelm;Krauch Ulrich;Lind Kurt;Woerner Alexander
分类号 G06F17/50 主分类号 G06F17/50
代理机构 代理人 Feighan Patricia B.;Corsello Kenneth R.
主权项 1. A method for fixing an early mode slack in a hierarchically designed hardware device having a device design comprising a plurality of locations, the plurality of locations comprising a source macro, an integration unit and a sink macro, said method comprising: loading hardware design timing data for said device design to determine one or more pin locations where an early mode slack is detected, wherein said pin locations couple the integration unit with one of the source macro or the sink macro and wherein the loaded hardware design timing data includes a plurality of filtered timing data by applying a phase filter to exclude a plurality of scan phases from being checked, wherein the phase filter is an additional regular expression used as a pattern to exclude timing values that do not match a pin owner, a pin type, a buffer usage, and a plurality of timing phase information; for each such pin locations determining a location within said design for applying an early mode slack fix by calculating a weight value for each of a selection of potential fix locations based on absolute values of arrival times of data signals, ratio and difference between arrival times of late mode data signals and early mode data signals; applying said early mode slack fix to said determined location in said device design based on said weight value; and fabricating an integrated circuit chip using the device design that includes the early mode slack fix.
地址 Armonk NY US