发明名称 METHODS FOR DEPOSITING AN ALUMINUM OXIDE LAYER OVER GERMANIUM SUSBTRATES IN THE FABRICATION OF INTEGRATED CIRCUITS
摘要 Methods for fabricating integrated circuits are provided in various exemplary embodiments. In one embodiment, a method for fabricating an integrated circuit includes providing a germanium-based semiconductor substrate comprising a GeOx layer formed thereon and exposing the semiconductor substrate to first and second atomic layer deposition (ALD) processes. The first ALD process includes exposing the semiconductor substrate to a first gaseous precursor comprising aluminum and exposing the semiconductor substrate to a second gaseous precursor comprising a first oxygen-containing precursor. The second ALD process includes exposing the semiconductor substrate to a first gaseous precursor comprising aluminum and exposing the semiconductor substrate to a second gaseous precursor comprising a second oxygen-containing precursor.
申请公布号 US2015093914(A1) 申请公布日期 2015.04.02
申请号 US201314044514 申请日期 2013.10.02
申请人 Intermolecular ;GLOBALFOUNDRIES, Inc. 发明人 Yang Bin;Pethe Abhijit;Lee Albert;Joshi Amol;Bodke Ashish;Kashefi Kevin;Mujumdar Salil
分类号 H01L21/02 主分类号 H01L21/02
代理机构 代理人
主权项 1. A method for fabricating an integrated circuit comprising: providing a germanium-based semiconductor substrate comprising a GeOx layer formed thereon; determining a desired tuning of an atomic layer deposition (ALD) aluminum oxide layer to be deposited over the GeOx layer with respect to qualities of interfacial density of energy states (Dit) and gate capacitance, wherein said determining step comprises selecting a first oxygen-containing precursor for minimizing Dit and selecting a second oxygen-containing precursor for minimizing gate capacitance, wherein the first oxygen-containing precursor is a different chemical species from the second oxygen-containing precursor; exposing the semiconductor substrate to first and second atomic layer deposition (ALD) processes, wherein the first ALD process comprises: exposing the semiconductor substrate to a first gaseous precursor comprising aluminum; andexposing the semiconductor substrate to a second gaseous precursor comprising the first oxygen-containing precursor, wherein the second ALD process comprises: exposing the semiconductor substrate to the first gaseous precursor comprising aluminum; andexposing the semiconductor substrate to a third gaseous precursor comprising the second oxygen-containing precursor.
地址 San Jose CA US