发明名称 Hardware execution driven application level derating calculation for soft error rate analysis
摘要 Mechanisms are provided for predicting effects of soft errors on an integrated circuit device design. A data processing system is configured to implement a unified derating tool that includes a machine derating front-end engine used to generate machine derating information, and an application derating front-end engine used to generate application derating information, for the integrated circuit device design. The machine derating front-end engine executes a simulation of the integrated circuit device design to generate the machine derating information. The application derating front-end engine executes an application workload on existing hardware similar in architecture to the integrated circuit device design and injects a fault into the existing hardware during execution of the application workload to generate application derating information. The machine derating information is combined with the application derating information to generate at least one soft error rate value for the integrated circuit device design.
申请公布号 US8949101(B2) 申请公布日期 2015.02.03
申请号 US201113271827 申请日期 2011.10.12
申请人 International Business Machines Corporation 发明人 Bose Pradip;Gupta Meeta S.;Kudva Prabhakar N.;Prener Daniel A.
分类号 G01R31/3181;G06F17/50;G01R31/3183 主分类号 G01R31/3181
代理机构 代理人 Tkacs Stephen R.;Walder, Jr. Stephen J.;Davis Jennifer R.
主权项 1. A method, in a data processing system, for predicting effects of soft errors on an integrated circuit device design, comprising: configuring the data processing system to implement a unified derating tool, wherein the unified derating tool comprises a machine derating front-end engine used to generate machine derating information for the integrated circuit device design, and an application derating front-end engine used to generate application derating information for the integrated circuit device design; executing in the data processing system, by the unified derating tool, the machine derating front-end engine on a simulation of the integrated circuit device design to generate the machine derating information; executing in the data processing system, by the milled derating tool, the application derating front-end engine to execute an application workload on existing hardware and inject a fault into the existing hardware during the execution of the application workload on the existing hardware to generate the application derating information ,wherein the application workload is not simulated but actually executed on the existing hardware, wherein the integrated circuit device design comprises a new processor chip design, and wherein the existing hardware comprises an existing fabricated processor chip in a same family of processor chips as the new processor ship design; and combining, by the data processing system, the machine derating information with the application derating information to generate at least one soft error rate (SER) value for the integrated circuit device design.
地址 Armonk NY US