发明名称 Bevel/backside polymer removing method and device, substrate processing apparatus and storage medium
摘要 A bevel/backside polymer removing method removes multi-layered bevel/backside polymers adhering to a bevel surface and a backside of a target substrate. The multi-layered bevel/backside polymers include an inorganic layer and an organic layer. The bevel/backside polymer removing method includes mechanically destroying the multi-layered bevel/backside polymers and heating residues of the multi-layered bevel/backside polymers mechanically destroyed.
申请公布号 US8821644(B2) 申请公布日期 2014.09.02
申请号 US200812268649 申请日期 2008.11.11
申请人 Tokyo Electron Limited 发明人 Sakuragi Isamu;Kitamura Akinori;Hiroki Tsutomu;Shindo Takehiro
分类号 B08B1/00;B24B37/34;H01L21/02;H01L21/67 主分类号 B08B1/00
代理机构 Rothwell, Figg, Ernst & Manbeck, P.C. 代理人 Rothwell, Figg, Ernst & Manbeck, P.C.
主权项 1. A bevel/backside polymer removing method, comprising the sequential steps of: providing a target substrate having multi-layered bevel/backside polymers adhering to a bevel surface and a backside of the target substrate, the multi-layered bevel/backside polymers including an inorganic layer and an organic layer; heating the multi-layered bevel/backside polymers; mechanically breaking the heated multi-layered bevel/backside polymers; and heating residues of the mechanically broken multi-layered bevel/backside polymers to thermally remove the residues, wherein the residues have a thermal expansion coefficient that is different than that of the target substrate, and wherein the difference between the thermal expansion coefficient of the residues and that of the target substrate causes the residues to be removed during the heating, wherein the mechanical breaking step is performed on the bevel surface and on a backside region extending about 5 mm from an edge of the target substrate, and wherein the bevel/backside polymer removing method is a dry process.
地址 Tokyo JP