发明名称 Method of implementing timing engineering change order
摘要 A method of implementing timing ECO in a circuit includes the steps of performing a static timing analysis on the circuit so as to determine at least one timing violating path of the circuit, decomposing the timing violating path into at least one violating path segment, determining a smooth curve from each timing violating path and determining a plurality of reference points along the smooth curve, computing a fixability parameter of each gate on the violating path segment, extracting at least one gate according to the fixability parameters, and selecting one spare cell and disposing the selected spare cell on the violating path segment.
申请公布号 US8776000(B2) 申请公布日期 2014.07.08
申请号 US201213671398 申请日期 2012.11.07
申请人 National Chiao Tung University 发明人 Chang Hua-Yu;Jiang Hui-Ru;Chang Yao-Wen
分类号 G06F17/50 主分类号 G06F17/50
代理机构 DLA Piper LLP (US) 代理人 DLA Piper LLP (US)
主权项 1. A method of implementing timing engineering change order (ECO) in a circuit that includes a plurality of gates and that is provided with a plurality of spare cells, comprising the steps of: (A) performing a static timing analysis on the circuit so as to determine at least one timing violating path of the circuit, each timing violating path including a sequence of the gates such that from each of its gates there is a wire connecting to the next gate in the sequence, and having negative edge slacks, which are slacks of edges that represent wires between the gates; (B) decomposing each of the at least one timing violating path into at least one violating path segment having the same edge slack; (C) determining, for the circuit, a smooth curve from each of the at least one timing violating path, and determining a plurality of reference points that are evenly distributed along the smooth curve and that correspond respectively to the gates on the timing violating path; (D) computing, for the circuit, a fixability parameter of each of the gates on the violating path segment, wherein the fixability parameter is associated with a smoothness parameter of the respective gate, and the smoothness parameter is associated with a distance between the respective gate and the corresponding reference point; (E) extracting at least one gate from the gates on the violating path segment, according to the fixability parameters of the gates on the violating path segment, to serve as at least one extracted gate; (F) selecting one of the spare cells that is adapted for improving slack of a corresponding one of the at least one extracted gate as a selected spare cell, and disposing the selected spare cell on the violating path segment; and (G) rewiring the circuit so as to apply the selected spare cell.
地址 Taipei TW