发明名称 ARM+FPGA组成的计数器装置及其实现方法
摘要 本发明提供一种以ARM微处理器为控制核心、FPGA为计数处理的计数器装置,包括:ARM控制模块、存储器模块、FPGA计数器模块、双口RAM模块、SDRAM掉电保护模块、电源模块。ARM控制模块以ARM微处理器为控制核心,对各模块处理和控制;双口RAM模块分别与FPGA计数器模块和ARM控制模块连接,实现两模块的数据传输;FPGA计数器模块以计数器控制器为核心,完成增计数器和增/减计数器判断、计数处理及实时计数参数传输;电源模块提供工作电源,SDRAM掉电保护模块对计数动态参数掉电保护;本发明还提供一种实现计数器的方法;本发明计数操作不占用PLC用户程序执行时间,可实现不同类型和数量的计数器。
申请公布号 CN102254218B 申请公布日期 2014.02.26
申请号 CN201110184552.9 申请日期 2011.07.02
申请人 广西工学院 发明人 李克俭;蔡启仲;罗功坤;付杰;丁秋笛;吴笔迅
分类号 G06M1/00(2006.01)I 主分类号 G06M1/00(2006.01)I
代理机构 柳州市荣久专利商标事务所(普通合伙) 45113 代理人 张荣玖
主权项 一种ARM+FPGA组成的计数器装置,其特征在于:该计数器装置是以嵌入式ARM微处理器为控制核心、以FPGA进行计数处理,包括:ARM控制模块(Ⅰ)、存储器模块(Ⅱ)、FPGA计数器模块(Ⅲ)、SDRAM掉电保护模块(Ⅵ)、电源模块(Ⅴ)以及双口RAM模块(Ⅳ);所述ARM控制模块(Ⅰ)分别与上述存储器模块(Ⅱ)、FPGA计数器模块(Ⅲ)、双口RAM模块(Ⅳ)、SDRAM掉电保护模块(Ⅵ)及电源模块(Ⅴ)连接,以嵌入式ARM微处理器为控制核心,构建嵌入式实时操作系统,进行多任务管理和通信管理,实现对装置中各个模块信息的处理和控制;所述存储器模块(Ⅱ)用于存储操作系统及系统程序的目标代码映像文件、用户程序的处理信息、以及为计数器装置数据的处理和通信提供空间;所述双口RAM模块(Ⅳ)分别与所述FPGA计数器模块(Ⅲ)和所述ARM控制模块(Ⅰ)连接,以实现所述ARM控制模块(Ⅰ)与所述FPGA计数器模块(Ⅲ)之间的数据传输;所述FPGA计数器模块(Ⅲ)用于判断计数器的类型,实施与计数设定值的比较及计数运算,通过对所述双口RAM模块(Ⅳ)的读写控制,将计数器的状态传送至所述ARM控制模块(Ⅰ);所述SDRAM掉电保护模块(Ⅵ)与所述FPGA计数器模块(Ⅲ)连接,用于避免在电源切换过程中存储器内容的变化,并应用其中的电源为动态参数存储器供电;所述电源模块(Ⅴ)为上述ARM控制模块(Ⅰ)、存储器模块(Ⅱ)、FPGA计数器模块(Ⅲ)、SDRAM掉电保护模块(Ⅵ)及双口RAM模块(Ⅳ)提供变压电源;所述SDRAM掉电保护模块(Ⅵ)包括相互连接的SDRAM计数器动态参数存储器和SDRAM掉电保护电路,该SDRAM掉电保护模块(Ⅵ)在电源切换过程中应用所述SDRAM掉电保护电路的电源为所述SDRAM计数器动态参数存储器供电,以避免所述SDRAM计数器动态参数存储器内容发生变化。
地址 545006 广西壮族自治区柳州市东环路268号