发明名称 机群链路的前馈+反馈组合式载波跟踪方法
摘要 本发明涉及一种机群链路的前馈/反馈组合式载波跟踪方法,属于航空数据链、无线电导航技术领域。本发明提供了一种可以在电路板的数字信号处理器DSP和FPGA上实现机群链路高动态信号的精密跟踪与测量方法的体系构架,本发明提出高阶统计估计模型对环路多普勒频率参数进行跟踪估计,直接用于载波跟踪的迭代式前馈控制;同时,保留三阶PLL的为闭环载波跟踪结构,实现精确跟踪;扩频码精密跟踪则依赖载波辅助码环解决。本发明解决了传统意义上恶劣动态条件下传统高动态接收机频繁失锁、精度不佳的缺陷。本发明公开的方法能够广泛应用于基于抑制载波调制直接序列扩频体制的卫星导航接收机、测距系统和通信系统。
申请公布号 CN101770034B 申请公布日期 2013.03.13
申请号 CN201010103943.9 申请日期 2010.01.29
申请人 中国科学院空间科学与应用研究中心 发明人 杨宜康;孙辉先;陈晓敏
分类号 G01S19/29(2010.01)I 主分类号 G01S19/29(2010.01)I
代理机构 北京慧泉知识产权代理有限公司 11232 代理人 王顺荣;唐爱华
主权项 1.一种机群链路的前馈+反馈组合式载波跟踪方法,是在电路板的数字信号处理器DSP和FPGA上实现整个系统结构和方法,其特征在于:该方法是一种载波跟踪方式:前馈+反馈的载波跟踪控制策略,本方法的开环前馈控制环节基于统计滤波算法估计载波相位和多普勒频移跟踪残差,对当前第k步载波跟踪残差估计值迭代积分累加获得下一步第k+1步的多普勒频移值预报输出,获得高动态和全局线性特性;闭环反馈控制环节为三阶Costas PLL,将载波相位和频率跟踪残差估计结果用于闭环控制实现精密跟踪;前馈+反馈的载波跟踪控制策略,有如下恒等式:f<sub>d</sub>(k)=[f<sub>FF</sub>(k)+f<sub>FB</sub>(k)]+f<sub>e</sub>(k)(1)=f<sub>u</sub>(k)+f<sub>e</sub>(k)<maths num="0001"><![CDATA[<math><mrow><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>&epsiv;</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>N</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mrow><mo>(</mo><mi>&epsiv;</mi><mo>&Element;</mo><mo>[</mo><mi>kT</mi><mo>,</mo><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mi>T</mi><mo>]</mo><mo>)</mo></mrow><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(2)是f<sub>d</sub>(k+1)在第k点的泰勒展开式,f<sub>d</sub>(k)与f<sub>d</sub>(k+1)采样间隔为积分-清除周期T;其中,多普勒频移f<sub>d</sub>(k);多普勒频移跟踪残差f<sub>e</sub>(k);开环前馈补偿输出f<sub>FF</sub>(k);锁相环闭环控制输出f<sub>FB</sub>(k);令:<img file="FSB00000864217600012.GIF" wi="143" he="64" />为多普勒频移量的0阶~2阶导数的估计值,利用<img file="FSB00000864217600013.GIF" wi="113" he="63" />通过合适的统计估计模型和滤波算法获得;其中n=0,1,2;<maths num="0002"><![CDATA[<math><mrow><msub><mi>f</mi><mi>FF</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(3)是关于f<sub>d</sub>(k+1)的一步递推预报公式,预报值<img file="FSB00000864217600015.GIF" wi="225" he="65" />作为第k+1步开环前馈控制补偿量,即:第k+1步的补偿控制输出量为<img file="FSB00000864217600016.GIF" wi="496" he="67" />其中,这里需要特别注意:<img file="FSB00000864217600017.GIF" wi="231" he="66" />是在第k步对f<sub>d</sub>(k+1)的预报值,而不是在第k+1步对f<sub>d</sub>(k+1)的估计值<img file="FSB00000864217600018.GIF" wi="202" he="65" />公式(3)的三个积分累加量需要通过状态估计获得,且舍去了泰勒展开式的余项<img file="FSB00000864217600019.GIF" wi="312" he="130" />因此预报值<img file="FSB000008642176000110.GIF" wi="223" he="66" />存在预报误差,根据公式(1)~公式(3)推导预报误差的解析形式:<maths num="0003"><![CDATA[<math><mrow><mi>&delta;</mi><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>-</mo><msub><mi>f</mi><mi>FF</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0004"><![CDATA[<math><mrow><mo>=</mo><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>-</mo><msub><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0005"><![CDATA[<math><mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>&epsiv;</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>N</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>-</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>4</mn><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0006"><![CDATA[<math><mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>-</mo><msubsup><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>&epsiv;</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>N</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac></mrow></math>]]></maths><maths num="0007"><![CDATA[<math><mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mi>N</mi></munderover><mfrac><mrow><msubsup><mi>&delta;f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>&epsiv;</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>N</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>N</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mrow><mo>(</mo><mi>N</mi><mo>=</mo><mn>2</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(4)看出,从第k步到第k+1步的预报误差δf<sub>d</sub>(k+1|k)包括两类成分:第k步载波多普勒频移参数各阶导数<img file="FSB00000864217600026.GIF" wi="144" he="63" />(n=0,1,2)的估计误差<img file="FSB00000864217600027.GIF" wi="577" he="74" />泰勒展开式截断误差<img file="FSB00000864217600028.GIF" wi="254" he="118" />预报误差δf<sub>d</sub>(k+1|k)也就是第k+1步的开环前馈补偿控制的误差,如果没有PLL闭环反馈控制过程,则此预报误差就是第k+1步的多普勒频移跟踪残差,即:f<sub>e</sub>(k+1)=δf<sub>d</sub>(k+1|k)                (5)若三阶PLL环路的闭环反馈控制作用为:f<sub>FB</sub>(k+1)=-δf<sub>d</sub>(k+1|k)              (6)则由公式(4)得:<maths num="0008"><![CDATA[<math><mrow><msub><mi>f</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>-</mo><mo>[</mo><msub><mi>f</mi><mi>FF</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>+</mo><msub><mi>f</mi><mi>FB</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>]</mo></mrow></math>]]></maths><maths num="0009"><![CDATA[<math><mrow><mo>=</mo><mo>[</mo><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>-</mo><msub><mover><mi>f</mi><mo>^</mo></mover><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>+</mo><msub><mi>f</mi><mi>FB</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0010"><![CDATA[<math><mrow><mo>(</mo><mn>7</mn><mo>)</mo></mrow></math>]]></maths><maths num="0011"><![CDATA[<math><mrow><mo>=</mo><msub><mi>&delta;f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow><mo>-</mo><msub><mi>&delta;f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>|</mo><mi>k</mi><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0012"><![CDATA[<math><mrow><mo>=</mo><mn>0</mn></mrow></math>]]></maths>当公式(6)、公式(7)成立时,f<sub>e</sub>(k+1)能够被三阶PLL环路通过闭环反馈控制过程消除;多普勒频移量的0阶~2阶导数的估计值<img file="FSB000008642176000214.GIF" wi="143" he="64" />作为多普勒频移参数测量值,即:载波跟踪环路的本地再生载波相对中频频点标称值的偏差成分,<img file="FSB000008642176000215.GIF" wi="145" he="65" />用于计算<img file="FSB000008642176000216.GIF" wi="232" he="65" />并输出开环前馈控制f<sub>FF</sub>(k+1),最大程度补偿了多普勒频移的恶劣动态,则锁相环承担的多普勒动态将被补偿干净而相当微弱,为预报误差δf<sub>d</sub>(k+1|k),通过反馈控制量f<sub>FB</sub>(k)消除;所述的开环前馈补偿,是应用一种适用于恶劣条件下的载波参数统计估计方法实现,其中载波参数估计方法将积分-清除器的原始输出同相I支路和正交Q支路信号作为统计估计模型和滤波算法的输入量,该信号是接收通道A/D采样输出的数字中频信号与本地载波NCO正交混频解调、与本地码NCO驱动的再生伪码相关解扩,载波剥离、伪码剥离之后的信号再进入积分-清除器处理得到的输出信号;所述机群链路的前馈+反馈组合式载波跟踪方法实施前提是:【条件1】已完成载波频点捕获和码相位粗捕获,此时多普勒频移跟踪残差在-500Hz~+500Hz之内,码相位误差在1/4码片以内,但载波环路尚未进入锁定状态;或者跟踪失锁后刚刚完成信号重捕;【条件2】正常跟踪状态;此时,积分-清除器原始输出的同相I支路和正交Q支路信号在相关间隔末输出结果为:<maths num="0013"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>I</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&ap;</mo><mi>A</mi><mo>&CenterDot;</mo><mi>R</mi><mo>[</mo><mi>&epsiv;</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>&CenterDot;</mo><mi>sin</mi><mi>c</mi><mo>[</mo><msub><mi>&Delta;&omega;</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>N</mi><mo>/</mo><mn>2</mn><mo>]</mo><mi>cos</mi><msub><mi>&theta;</mi><mi>k</mi></msub><mo>+</mo><msub><mi>n</mi><mi>I</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr><mtr><mtd><msub><mi>Q</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&ap;</mo><mi>A</mi><mo>&CenterDot;</mo><mi>R</mi><mo>[</mo><mi>&epsiv;</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>&CenterDot;</mo><mi>sin</mi><mi>c</mi><mo>[</mo><msub><mi>&Delta;&omega;</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>N</mi><mo>/</mo><mn>2</mn><mo>]</mo><mi>sin</mi><msub><mi>&theta;</mi><mi>k</mi></msub><mo>+</mo><msub><mi>n</mi><mi>Q</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>8</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(8)中:A为信号幅度;Δω<sub>d</sub>(k)为多普勒频移估计残差,<img file="FSB00000864217600032.GIF" wi="521" he="73" />其中ε(k)为码相位(延时)估计偏差——真实延时和估计延时的差,ε(k)=Δτ;R(·)为伪随机码理想的二电平自相关函数,均为时间的函数;N为积分清除器的积分点数;θ<sub>k</sub>为载波相位误差,θ<sub>k</sub>=k·N·Δw<sub>d</sub>(k)-Δw<sub>d</sub>(k)·N/2+Δφ;n<sub>I</sub>(k),n<sub>Q</sub>(k)为随机噪声;选择载波相位的0、1、2、3阶时间导数即载波相位、载波多普勒频移、载波多普勒一阶变化率、载波多普勒二阶变化率作为状态变量,系统控制输入为载波跟踪环频率控制输出值f<sub>u</sub>(k)的积分多普勒相位差,选择积分-清除器输出的I、Q支路信号作为观测量,建立四阶非线性状态估计模型;(一)两种新型统计估计模型(i)建模基础当采样间隔积分-清除周期T足够小时,令θ<sub>e</sub>(k)为积分-清除器输出信号的载波相位、<img file="FSB00000864217600033.GIF" wi="371" he="57" />(n=0,1,2)为载波相位θ<sub>e</sub>(k)的n+1阶导数,分别表示残余多普勒频移f<sub>e</sub>(k)、残余多普勒频移变化率<img file="FSB00000864217600034.GIF" wi="163" he="59" />残余多普勒频移二阶变化率<img file="FSB00000864217600035.GIF" wi="169" he="59" />它们在采样间隔T内的迭代关系按照泰勒级数展开得:<maths num="0014"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><mn>2</mn><mi>&pi;</mi><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>1</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>4</mn></msup></mrow><mn>24</mn></mfrac></mtd></mtr><mtr><mtd><msub><mi>f</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>2</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>3</mn></msup></mrow><mn>6</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>1</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>3</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>2</mn></msup></mrow><mn>2</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>4</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>T</mi></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>9</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(9)中:(ε<sub>1</sub>,ε<sub>2</sub>,ε<sub>3</sub>,ε<sub>4</sub>∈[kT,(k+1)T]);(ii)两种系统动力学描述方式①描述方式1:根据公式(4)得:<maths num="0015"><![CDATA[<math><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>-</mo><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>,</mo></mrow></math>]]></maths>其中,n=0,1,2,3                (10)定义第k步到第k+1步的载波NCO积分多普勒值第k步到第k+1步的载波相位差分值为:<maths num="0016"><![CDATA[<math><mrow><msub><mi>&Delta;&theta;</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mi>&theta;</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>-</mo><msub><mi>&theta;</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0017"><![CDATA[<math><mrow><mo>=</mo><msubsup><mo>&Integral;</mo><mi>kT</mi><mrow><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mi>T</mi></mrow></msubsup><mn>2</mn><mi>&pi;</mi><msub><mi>f</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>t</mi><mo>)</mo></mrow><mi>dt</mi></mrow></math>]]></maths><maths num="0018"><![CDATA[<math><mrow><mo>(</mo><mn>11</mn><mo>)</mo></mrow></math>]]></maths><maths num="0019"><![CDATA[<math><mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>u</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&xi;</mi><mn>1</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>4</mn></msup></mrow><mn>24</mn></mfrac></mrow></math>]]></maths>将公式(10)、公式(11)代入公式(8)得:<maths num="0020"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>d</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&xi;</mi><mn>1</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>4</mn></msup></mrow><mn>24</mn></mfrac><mo>-</mo><msub><mi>&Delta;&theta;</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow></mtd></mtr><mtr><mtd><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&xi;</mi><mn>2</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>3</mn></msup></mrow><mn>6</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>1</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&xi;</mi><mn>3</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>2</mn></msup></mrow><mn>2</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>2</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>2</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>2</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&xi;</mi><mn>4</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>T</mi></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>12</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(11)、公式(12)中:(ξ<sub>1</sub>,ξ<sub>2</sub>,ξ<sub>3</sub>,ξ<sub>4</sub>∈[kT,(k+1)T];第k步到第k+1步的载波NCO积分多普勒值Δθ<sub>u</sub>(k+1)作为系统状态方程的控制输入量,直接通过载波周数计数器和载波NCO相位寄存器计算获得;基于描述方式1建立的载波参数估计模型的系统方程为带控制信号的4阶系统;②描述方式2:将公式(10)、公式(12)代入公式(9)得:<maths num="0021"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>-</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mrow><mn>2</mn><mi>&pi;f</mi></mrow><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>+</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><mn>2</mn><mi>&pi;</mi><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>1</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>4</mn></msup></mrow><mn>24</mn></mfrac></mtd></mtr><mtr><mtd><msub><mi>f</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>-</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>2</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>3</mn></msup></mrow><mn>6</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>1</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>-</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>1</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>3</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>2</mn></msup></mrow><mn>2</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msubsup><mi>f</mi><mi>d</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>-</mo><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msubsup><mi>f</mi><mi>e</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&epsiv;</mi><mn>4</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>T</mi></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>13</mn><mo>)</mo></mrow></mrow></math>]]></maths><maths num="0022"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>f</mi><mi>u</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>0</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mi>n</mi></msup></mrow><mrow><mi>n</mi><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&zeta;</mi><mn>1</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>3</mn></msup></mrow><mn>6</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>1</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><munderover><mi>&Sigma;</mi><mrow><mi>n</mi><mo>=</mo><mn>1</mn></mrow><mn>2</mn></munderover><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mi>n</mi><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msup></mrow><mrow><mrow><mo>(</mo><mi>n</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mo>!</mo></mrow></mfrac><mo>+</mo><mfrac><mrow><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&zeta;</mi><mn>2</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><msup><mi>T</mi><mn>2</mn></msup></mrow><mn>2</mn></mfrac></mtd></mtr><mtr><mtd><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>+</mo><mn>1</mn><mo>)</mo></mrow><mo>=</mo><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>2</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msubsup><mi>f</mi><mi>u</mi><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></msubsup><mrow><mo>(</mo><msub><mi>&zeta;</mi><mn>3</mn></msub><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>T</mi></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>14</mn><mo>)</mo></mrow></mrow></math>]]></maths>根据公式(14)给出的关于f<sub>u</sub>(k+1)、<img file="FSB00000864217600053.GIF" wi="476" he="59" />的状态方程,将第k+1步输出f<sub>u</sub>(k+1)为观测量,建立估计模型并获得实时估计值<img file="FSB00000864217600054.GIF" wi="752" he="65" />作为控制输入带入公式(15);(iii)量测方程积分-清除器原始输出的同相I支路和正交Q支路信号为量测向量,引用公式(8):<maths num="0023"><![CDATA[<math><mrow><mfenced open='{' close=''><mtable><mtr><mtd><msub><mi>I</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&ap;</mo><mi>A</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>R</mi><mo>[</mo><mi>&epsiv;</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>&CenterDot;</mo><mi>sin</mi><mi>c</mi><mo>[</mo><msub><mi>&Delta;&omega;</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>N</mi><mo>/</mo><mn>2</mn><mo>]</mo><mo>&CenterDot;</mo><mi>cos</mi><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msub><mi>n</mi><mi>I</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr><mtr><mtd><msub><mi>Q</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&ap;</mo><mi>A</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>R</mi><mo>[</mo><mi>&epsiv;</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>&CenterDot;</mo><mi>sin</mi><mi>c</mi><mo>[</mo><msub><mi>&Delta;&omega;</mi><mi>d</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>&CenterDot;</mo><mi>N</mi><mo>/</mo><mn>2</mn><mo>]</mo><mo>&CenterDot;</mo><mi>sin</mi><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msub><mi>n</mi><mi>Q</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>15</mn><mo>)</mo></mrow></mrow></math>]]></maths>z(k)=h[x(k)]+n(k)=[I<sub>ps</sub>(k),Q<sub>ps</sub>(k)]<sup>T</sup>+[n<sub>I</sub>(k),n<sub>Q</sub>(k)]<sup>T</sup>        (16)一般采用归一化的形式进行计算:<maths num="0024"><![CDATA[<math><mrow><mi>z</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><mi>h</mi><mo>[</mo><mi>x</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>]</mo><mo>+</mo><mi>n</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mi>cos</mi><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr><mtr><mtd><mi>sin</mi><msub><mi>&theta;</mi><mi>e</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>+</mo><mfenced open='[' close=']'><mtable><mtr><mtd><msub><mi>n</mi><mi>c</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr><mtr><mtd><msub><mi>n</mi><mi>s</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mi>cos</mi><mrow><mo>(</mo><mi>C</mi><mo>&CenterDot;</mo><mi>x</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>)</mo></mrow></mtd></mtr><mtr><mtd><mi>sin</mi><mrow><mo>(</mo><mi>C</mi><mo>&CenterDot;</mo><mi>x</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>+</mo><mfenced open='[' close=']'><mtable><mtr><mtd><msub><mi>n</mi><mi>c</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr><mtr><mtd><msub><mi>n</mi><mi>s</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>17</mn><mo>)</mo></mrow></mrow></math>]]></maths>公式(17)中:量测向量为<maths num="0025"><![CDATA[<math><mrow><mi>z</mi><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>=</mo><msup><mrow><mo>[</mo><mfrac><mrow><msub><mi>I</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mrow><msqrt><msubsup><mi>I</mi><mi>ps</mi><mn>2</mn></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msubsup><mi>Q</mi><mi>ps</mi><mn>2</mn></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></msqrt></mfrac><mo>,</mo><mfrac><mrow><msub><mi>Q</mi><mi>ps</mi></msub><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></mrow><msqrt><msubsup><mi>I</mi><mi>ps</mi><mn>2</mn></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow><mo>+</mo><msubsup><mi>Q</mi><mi>ps</mi><mn>2</mn></msubsup><mrow><mo>(</mo><mi>k</mi><mo>)</mo></mrow></msqrt></mfrac><mo>]</mo></mrow><mi>T</mi></msup><mo>;</mo></mrow></math>]]></maths>h[x(k)]=[cosθ<sub>e</sub>(k),sinθ<sub>e</sub>(k)]<sup>T</sup>=[cos(C·x(k)),sin(C·x(k))]<sup>T</sup>;C=[1,0,0,0];n(k)=[n<sub>c</sub>(k),n<sub>s</sub>(k)]<sup>T</sup>为归一化量测噪声向量,一般认为是高斯白噪声,其协方差阵为<img file="FSB00000864217600058.GIF" wi="524" he="61" /><img file="FSB00000864217600059.GIF" wi="103" he="61" /><img file="FSB00000864217600061.GIF" wi="144" he="48" />其中,SNR为积分-清除器输出信号的信噪比;I为二阶单位阵;(iv)建立两种载波参数估计模型①估计模型1根据公式(11)、公式(12)、公式(17)建立估计模型1;状态向量取为x(k)=[θ<sub>c</sub>(k),f<sub>d</sub>(k),f′<sub>d</sub>(k),f″<sub>d</sub>(k)]<sup>T</sup>,控制输入量为u(k)=Δθ<sub>u</sub>(k+1);状态方程表示为:x(k+1)=Fx(k)+Gu(k)+w(k)                    (18)公式(18)中:状态转移矩阵<maths num="0026"><![CDATA[<math><mrow><mi>F</mi><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mn>1</mn></mtd><mtd><mn>2</mn><mi>&pi;T</mi></mtd><mtd><mi>&pi;</mi><msup><mi>T</mi><mn>2</mn></msup></mtd><mtd><msup><mi>&pi;T</mi><mn>3</mn></msup><mo>/</mo><mn>3</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd><mtd><msup><mi>T</mi><mn>2</mn></msup><mo>/</mo><mn>2</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd></mtr></mtable></mfenced><mo>;</mo></mrow></math>]]></maths>控制输入耦合矩阵为G=[-1,0,0,0]<sup>T</sup>;将泰勒展开式余项看作系统噪声向量w(k)=[w<sub>1</sub>(k),w<sub>2</sub>(k),w<sub>3</sub>(k),w<sub>4</sub>(k)]<sup>T</sup>;量测方程由公式(17)定义;②估计模型2根据公式(13)、公式(14)、公式(17)建立估计模型2;状态向量取为<img file="FSB00000864217600063.GIF" wi="140" he="48" /><img file="FSB00000864217600064.GIF" wi="578" he="91" />控制输入量为<img file="FSB00000864217600065.GIF" wi="596" he="66" />状态方程表示为:x(k+1)=Fx(k)+Gu(k)+w(k)                    (19)公式(19)中:状态转移阵<maths num="0027"><![CDATA[<math><mrow><mi>F</mi><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mn>1</mn></mtd><mtd><mn>2</mn><mi>&pi;T</mi></mtd><mtd><mi>&pi;</mi><msup><mi>T</mi><mn>2</mn></msup></mtd><mtd><msup><mi>&pi;T</mi><mn>3</mn></msup><mo>/</mo><mn>3</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd><mtd><msup><mi>T</mi><mn>2</mn></msup><mo>/</mo><mn>2</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd></mtr></mtable></mfenced><mo>;</mo></mrow></math>]]></maths>控制输入耦合矩阵为<maths num="0028"><![CDATA[<math><mrow><mi>G</mi><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mn>2</mn><mi>&pi;T</mi></mtd><mtd><mi>&pi;</mi><msup><mi>T</mi><mn>2</mn></msup></mtd><mtd><mi>&pi;</mi><msup><mi>T</mi><mn>3</mn></msup><mo>/</mo><mn>3</mn></mtd></mtr><mtr><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd><mtd><msup><mi>T</mi><mn>2</mn></msup><mo>/</mo><mn>2</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd></mtr></mtable></mfenced><mo>;</mo></mrow></math>]]></maths>将泰勒展开式余项看作系统噪声向量w(k)=[w<sub>1</sub>(k),w<sub>2</sub>(k),w<sub>3</sub>(k),w<sub>4</sub>(k)]<sup>T</sup>;量测方程由公式(17)定义;控制输入量为<img file="FSB00000864217600068.GIF" wi="571" he="69" />由公式(13)建立的线性估计模型通过线性kalman滤波获得;令状态向量为:<img file="FSB00000864217600069.GIF" wi="625" he="64" />根据公式(13)状态方程表示为:x<sub>u</sub>(k+1)=F<sub>u</sub>x<sub>u</sub>(k)+w<sub>u</sub>(k)                (20)公式(20)中:状态转移阵<maths num="0029"><![CDATA[<math><mrow><msub><mi>F</mi><mi>u</mi></msub><mo>=</mo><mfenced open='[' close=']'><mtable><mtr><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd><mtd><msup><mi>T</mi><mn>2</mn></msup><mo>/</mo><mn>2</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd><mtd><mi>T</mi></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mn>0</mn></mtd><mtd><mn>1</mn></mtd></mtr></mtable></mfenced><mo>;</mo></mrow></math>]]></maths>将泰勒展开式余项看作系统噪声向量w<sub>u</sub>(k)=[w<sub>u1</sub>(k),w<sub>u2</sub>(k),w<sub>u3</sub>(k)]<sup>T</sup>;量测方程由公式(21)定义:z<sub>u</sub>(k)=C<sub>u</sub>x<sub>u</sub>(k)+n<sub>u</sub>(k)                  (21)公式(21)中:量测耦合矩阵C<sub>u</sub>=[1,0,0,0];n<sub>u</sub>(k)为量测噪声,与频标的相位噪声和载波NCO位数有关,是微小量;两种估计模型中,由公式(18)和公式(17)定义的估计模型1是含标量控制输入的4阶非线性统计估计模型;由公式(19)和公式(17)定义的估计模型2是含3阶控制输入向量的4阶非线性统计估计模型,3阶控制输入向量由公式(20)和公式(11)定义的线性估计模型通过线性滤波实时计算。
地址 100190 北京市海淀区中关村南二条一号