发明名称 Method and system to fix early mode slacks in a circuit design
摘要 Fixing an early mode slack in a hierarchically designed hardware device with at least one source macro, an integration unit and at least one sink macro. Hardware design timing data is loaded to determine pins where an early mode slack fix can be applied; for each such pin determining a location across the design hierarchy for the early mode slack fix by calculating a weight value for each of a selection of fix locations of the early mode slack based on absolute values of arrival times of data signals, ratio and difference between arrival times of late mode data signals and early mode data signals; and assigning the early mode slack fix to the determined location based on said weight value. The hardware design timing data can be filtered to determine sink macro input pins. Asssignments of critical pins to be fixed in the source or sink macro by adding delay devices or buffers may be made.
申请公布号 GB201217030(D0) 申请公布日期 2012.11.07
申请号 GB20120017030 申请日期 2012.09.25
申请人 INTERNATIONAL BUSINESS MACHINES CORPORATION 发明人
分类号 主分类号
代理机构 代理人
主权项
地址