发明名称 Generating Variation-Aware Library Data With Efficient Device Mismatch Characterization
摘要 In a method of generating variation-aware library data for statistical static timing analysis (SSTA), a "synthetic" Gaussian variable can be used to represent all instances of one or more mismatch variations in all devices (e.g. transistors), thereby capturing the effect on at least one timing property (e.g. delay or slew). By modeling device mismatch with synthetic random variables, the variation behavior (in terms of the distribution of delay, slew, constraint, etc.) can be interpreted as the outcomes of process variations instead of modeling the variation sources (e.g. transistor shape variations, variations in dopant atom density, and irregularity of edges).
申请公布号 US2009306953(A1) 申请公布日期 2009.12.10
申请号 US20080135033 申请日期 2008.06.06
申请人 SYNOPSYS, INC. 发明人 LIU JINFENG;TARAPOREVALA FEROZE P.
分类号 G06F17/50 主分类号 G06F17/50
代理机构 代理人
主权项
地址