发明名称 一种FPGA逻辑资源的内建自测试方法
摘要 一种FPGA逻辑资源的内建自测试方法,将FPGA内部逻辑模块按列交替分成左右两半部分,测试时首先将右半部分逻辑块阵列配置为待测电路,其余逻辑块阵列配置为测试向量产生电路和输出响应分析电路,然后将左半部分逻辑块阵列配置为待测电路,其余逻辑块阵列配置为测试向量产生电路和输出响应分析电路,每个过程保持电路结构不变,通过多次配置覆盖所有逻辑资源,测试结果通过内部构建的扫描寄存器链输出。本发明中将所有配置为响应分析电路的逻辑模块按照一维阵列首尾级联起来,简化了自测试结果取回方式,在100%测试覆盖率前提下,减少了FPGA逻辑资源的配置次数,降低了测试成本,增加了测试灵活性,从而提高测试效率。
申请公布号 CN101515020A 申请公布日期 2009.08.26
申请号 CN200910078845.1 申请日期 2009.03.05
申请人 北京时代民芯科技有限公司;中国航天时代电子公司第七七二研究所 发明人 张志权;文治平;陈雷;王慜;张帆;周涛
分类号 G01R31/3185(2006.01)I 主分类号 G01R31/3185(2006.01)I
代理机构 中国航天科技专利中心 代理人 安 丽
主权项 1、一种FPGA逻辑资源的内建自测试方法,其特征在于包括下列步骤:(a)将FPGA器件内的逻辑模块按列交替划分为左右两个部分,对划分后的逻辑模块进行配置与测试,逻辑模块的每次配置通过两个测试过程完成测试,其中第一测试过程的配置方法为:将最左列逻辑模块配置为测试向量产生电路(1),右半部分逻辑模块阵列配置为多个待测电路(2),相邻待测电路之间的逻辑模块阵列配置为扫描链结构的输出响应分析电路(3);第二测试过程的配置方法为:将最右列逻辑模块配置为测试向量产生电路(1),左半部分逻辑模块阵列配置为多个待测试电路(2),相邻待测电路之间逻辑模块阵列配置为扫描链结构的输出响应分析电路(3);(b)将测试向量产生电路(1)的输出端口与每个待测电路(2)的输入端口相连接,扫描链结构的输出响应分析电路(3)的每个输入端口与相邻的两个待测电路(2)的输出端口相连接,从而形成内建自测试结构;(c)按照第一测试过程的配置结果进行测试:由测试向量产生电路(1)产生伪随机穷举测试向量向待测电路(2)施加测试图形,测试向量施加完成后,启动由扫描链结构的输出响应分析电路(3)构成的扫描链(4)工作,在测试时钟的控制下,扫描链结构的输出响应分析电路(3)输出第一测试过程的内建自测试结果(5);然后按照第二测试过程的配置结果重复第一测试过程,扫描链结构的输出响应分析电路(3)输出第二测试过程的内建自测试结果(5);(d)保持步骤(b)的内建自测试结构不变,重复执行步骤(c)直到测试覆盖FPGA内的所有逻辑模块。
地址 100076北京市丰台区东高地四营门北路2号