发明名称 Timing closure for system on a chip using voltage drop based standard delay formats
摘要 A timing closure analysis associated with SoCs uses voltage drop based standard delay formats (SDFs). Static timing analysis (STA) is implemented using multiple SDFs, one for each mode (ATPG Test, BIST Test, Functional) as contrasted with doing STA with only one worst-case SDF for all modes. The multiple SDFs account for the impact of dynamic voltage drops on delays in addition to static IR drops.
申请公布号 US7324914(B2) 申请公布日期 2008.01.29
申请号 US20040977031 申请日期 2004.10.29
申请人 TEXAS INSTRUMENTS INCORPORATED 发明人 JAIN ATUL K.;PUVVADA VENUGOPAL;SAXENA JAYASHREE
分类号 G06F19/00;G06F9/45 主分类号 G06F19/00
代理机构 代理人
主权项
地址