发明名称 PROCESSOR DEVICE AND PROCESSING METHOD THEREFOR
摘要 PROBLEM TO BE SOLVED: To execute instructions fast by predicting local slack with simpler constitution than conventional techniques. SOLUTION: A processor predicts predicted slack which is a predicted value of local slack of an instruction to be executed and executes the instruction using the predicted slack. A slack table is referred to upon execution of an instruction to obtain predicted slack of the instruction and execution latency is increased by an amount equivalent to the obtained predicted slack. Then, it is estimated, based on behavior exhibited upon execution of the instruction, whether or not the predicted slack has reached target slack which is an appropriate value of current local slack of the instruction. The predicted slack is gradually increased each time the instruction is executed, until it is estimated that the predicted slack has reached the target slack. COPYRIGHT: (C)2008,JPO&INPIT
申请公布号 JP2007293816(A) 申请公布日期 2007.11.08
申请号 JP20070029489 申请日期 2007.02.08
申请人 HANDOTAI RIKOUGAKU KENKYU CENTER:KK 发明人 KOBAYASHI RYOTARO;HAYASHI HISAHIRO
分类号 G06F9/38 主分类号 G06F9/38
代理机构 代理人
主权项
地址