发明名称 Method of post-implementation simulation of a HDL design
摘要 A method of post-implementation simulation of a hardware description language (HDL) net list file, that does not match a HDL design file from which it was synthesized, comprises the steps of: creating a remap file which translates ports between the HDL net list file and the HDL design file; and simulating the HDL net list file utilizing the remap file and a (HDL) test bench file created for pre-implementation simulation of the HDL design file. The method may be executed in an integrated software environment or a batch software environment.
申请公布号 US7086017(B1) 申请公布日期 2006.08.01
申请号 US20020166970 申请日期 2002.06.10
申请人 XILINX, INC. 发明人 BLOOM ANDREW M.
分类号 G06F17/50 主分类号 G06F17/50
代理机构 代理人
主权项
地址