发明名称 PATH DELAY TEST METHOD
摘要 PROBLEM TO BE SOLVED: To provide a path delay test method which realizes test pattern generation allowing to detect a delay fault which is difficult to be detected by conventional test methods caused by speeding up of a semiconductor integrated circuit and miniaturization of processes and to allow high-quality products shipping without increasing development man-hour. SOLUTION: Critical paths for a guaranteed operation frequency and physical information such as wiring congestion and via density are extracted out of layout information generated by a net list of a semiconductor integrated circuit. On the basis of the physical information, trouble-prone parts are specified, and critical paths in which a delay fault is expected are selected from the critical paths. A test pattern is generated for only the selected critical path. For generating the test pattern, automatic test-pattern generating software is used. A weighting factor for the critical path is provided using a weighting inspection model obtained from critical path selecting information on the basis of the physical information. COPYRIGHT: (C)2006,JPO&NCIPI
申请公布号 JP2005308471(A) 申请公布日期 2005.11.04
申请号 JP20040123809 申请日期 2004.04.20
申请人 MATSUSHITA ELECTRIC IND CO LTD 发明人 OHARA YASUSHI;SHIMAMURA AKIMITSU;ABE TETSUYA;IMAI HIDEO
分类号 G01R31/3183;G01R31/28;G01R31/30;G06K5/04;G11B5/00;G11B20/20;(IPC1-7):G01R31/318 主分类号 G01R31/3183
代理机构 代理人
主权项
地址