发明名称 Round robin arbitration system
摘要 Round robin arbitration system includes a first round robin arbitration module and a second round robin arbitration module. The first round robin arbitration module has a first bit width. It is configured to partition a plurality of requests into a plurality of blocks of requests, to select a block having one or more active requests using round robin arbitration, and to generate a first index corresponding to the selected block. The second round robin arbitration module has a second bit width. It is configured to store each request of the selected block, to select each active request of the selected block using round robin arbitration, to generate a second index corresponding to the selected active request, and to generate a first signal for synchronizing operation of the first and second modules. The round robin arbitration system has a bit width that a product of the first and second bit widths.
申请公布号 US2003172212(A1) 申请公布日期 2003.09.11
申请号 US20020091768 申请日期 2002.03.05
申请人 LAVIGNE BRUCE E. 发明人 LAVIGNE BRUCE E.
分类号 G06F12/00;G06F13/00;G06F13/364;G06F13/368;(IPC1-7):G06F13/00 主分类号 G06F12/00
代理机构 代理人
主权项
地址