发明名称 Plasma treatment of processing gases
摘要 The present invention provides a DBD cell (500) including ring shaped electrodes (512 and 514) that are positioned side-by-side on a dielectric tube (516). An AC power supply (518) is provided such that the cell and the power supply form a DBD treatment device (540) for abatement of noxious gases for example FCs that can be discharged from semiconductor fabricating devices. Additionally, one or more sensors (822) and/or one or more gas addition ports (816) can be included in a DBD cell (800) of the present invention. Several DBD cells (1030, 1036 and 1042) of the present invention can be combined to form a DBD reactor (1010) of the present invention. AC power supplies (1012, 1014 and 1016) are utilized to energize the cells (1030, 1036 and 1042), forming a novel noxious gas treatment device (1000) wherein plasmas are created when gas is present inside the reactor. A DBD treatment device (1314) of the present invention can be operably connected to the gas discharge system of a semiconductor fabricating device (1310), forming a novel semiconductor processing system. Furthermore, DBD devices of the present invention (1714) can be utilized to form fluorine species for use in chemical processing methods, techniques and devices including wafer fabricating devices (1718). Additionally, DBD treatment devices of the present invention (1540, 1542 and 1544) can be integrated with vacuum pump stages (1520, 1522, 1524, 1526 and 1528) to form a novel pump integrated DBD treatment apparatus (1500).
申请公布号 US2003007910(A1) 申请公布日期 2003.01.09
申请号 US20010888191 申请日期 2001.06.22
申请人 DIAMANT LAZAROVICH STELA;ROSENBERG AVNER;SHILOH JOSEPH;STATLENDER JOSEPH;WURZBERG ELHANAN 发明人 DIAMANT LAZAROVICH STELA;ROSENBERG AVNER;SHILOH JOSEPH;STATLENDER JOSEPH;WURZBERG ELHANAN
分类号 B01D53/32;H01J37/32;(IPC1-7):B01J19/08;B01J19/12 主分类号 B01D53/32
代理机构 代理人
主权项
地址