发明名称 依据VPI/VCI三扇区ATM复接/分接的方法
摘要 本发明涉及通信系统,具体涉及在异步传输模式(ATM)中用现场可编程门阵列(FPGA)和处理器(CPU)来实现扇区控制单元(SCU)依据虚路径识别/虚信道识别(VPI/VCI)进行三扇区ATM复接/分接。在整个设计中,本发明提供的利用FPGA完成对ATM时序控制的方法有效的利用了可编程逻辑芯片所固有的灵活性,降低成本,减少电路板上芯片数量。另外由于没有使用专用芯片,可以减少软件软员一定的编写驱动程序的工作。由于灵活性高,可以对数据总线宽度和数据速率进行调整和改变。
申请公布号 CN1347229A 申请公布日期 2002.05.01
申请号 CN01136716.4 申请日期 2001.10.22
申请人 信息产业部电信传输研究所;东方通信科技发展有限公司 发明人 赵炎;娄亚军
分类号 H04L12/28;H04J13/00;H04Q7/20;H04B7/26 主分类号 H04L12/28
代理机构 代理人
主权项 1.依据VPI/VCI三扇区ATM复接/分接的方法,其特征在于:处理ATM信元的接收和发送的方式有所不同,接收ATM信元的时候,每个SCU板只处理和自己扇区有关的ATM信元,接收数据输入FPGA,提取帧头后,根据不同的VPI/VCI来区分不同扇区的信元,如果不是本SCU板的数据,就丢弃,否则就存入先入先出存储器(FIFO)内,发送ATM信元的时候,依靠不同的时隙来发送不同扇区的ATM信元,ATM信元可以直接在FPGA中处理,如果牵扯到比较复杂的ATM适配层的处理(如AAL2),可以通过基站总控单元BCU来处理,ATM模块的接口采用PMC-SIERRA公司ATM物理层芯片PM5350,由于ATM总线的数据速率比较快(可以达到155MBPS),所以采用三个扇区共享一个ATM模块,三个SCU单元通过ATM通用测试维护物理接口(UTOPIA Universal test & operations PHYinterface for ATM)总线共享,依据VPI/VCI区分不同扇区的SCU。
地址 100045北京市月坛南街11号