发明名称 Suppression of tungsten film deposition on a semiconductor wafer bevel edge with a halogenide purge gas
摘要 Provided herein is a CVD method and apparatus for the deposition of tungsten in which formation of a film of tungsten material is suppressed at the peripheral edge of the semiconductor substrate. In accordance with the invention, a halogenide purge gas is supplied to the peripheral edge of the processing face of a semiconductor wafer during the chemical vapor deposition of tungsten. The halogenide purge gases interact with the processing gases and form a passivation film at the peripheral edge of the semiconductor wafer which suppresses or prevents the formation of a film of tungsten material on the edge surface. Consequently, CMP can be applied to the tungsten semiconductor wafer, and particles of the tungsten material, etc., are not generated from the intense polishing of the peripheral edge of the wafer. Therefore, an uncontaminated tungsten-coated semiconductor wafer with a precise multilayer electrode wiring structure can be manufactured in large volume and favorable yields.
申请公布号 US5953630(A) 申请公布日期 1999.09.14
申请号 US19970892865 申请日期 1997.07.14
申请人 APPLIED MATERIALS, INC. 发明人 MAEDA, YUJI;MITANI, KATSUMI;YAMAZAKI, MANABU;YOSHIDA, NAOMI;TANAKA, KEIICHI
分类号 C23C16/14;C23C16/44;C23C16/455;H01L21/205;H01L21/28;H01L21/285;(IPC1-7):H01L21/285 主分类号 C23C16/14
代理机构 代理人
主权项
地址