发明名称 RESIST HARDENING AND DEVELOPMENT PROCESSES FOR SEMICONDUCTOR DEVICE MANUFACTURING
摘要 In some embodiments, a method of forming an etch mask on a substrate is provided that includes (1) forming a resist layer on a substrate; (2) exposing one or more regions of the resist layer to an energy source so as to alter at least one of a physical property and a chemical property of the exposed regions; (3) performing a hardening process on the resist layer to increase the etch resistance of first regions of the resist layer relative to second regions of the resist layer, the hardening process including exposing the resist layer to one or more reactive species within an atomic layer deposition (ALD) chamber; and (4) dry etching the resist layer to remove the one or more second regions and to form a pattern in the resist layer. Other embodiments are provided.
申请公布号 US2016329222(A1) 申请公布日期 2016.11.10
申请号 US201615216521 申请日期 2016.07.21
申请人 Applied Materials, Inc. 发明人 Xie Peng;Bencher Christopher Dennis;Dai Huixiong;Michaelson Timothy;Deshmukh Subhash
分类号 H01L21/67;H01L21/687;C23C16/455 主分类号 H01L21/67
代理机构 代理人
主权项 1. A processing system configured to process a substrate comprising: an atomic layer deposition chamber (ALD) configured to perform a hardening process on a substrate having a resist layer formed on the substrate with one or more exposed regions of the resist layer at least one of physically and chemically altered by exposure to at least one of ultra-violet light, extreme-ultra-violet light and an electron beam, the hardening process increasing the etch resistance of first regions of the resist layer relative to second regions of the resist layer; an etch chamber configured to receive the substrate from the ALD chamber and to etch the resist layer to remove the one or more second regions and to form a pattern in the resist layer; and a controller configured to control operation of the processing system to: transfer the substrate into the ALD chamber;perform the hardening process on the resist layer in the ALD chamber by exposing the resist layer to one or more reactive species within the ALD chamber;transfer the substrate to the etch chamber; andetch the resist layer within the etch chamber to remove the one or more second regions of the resist layer.
地址 Santa Clara CA US