发明名称 用于堆叠IC设计中的跨芯片热和功率管理的系统和方法
摘要 一种计算机实现方法包括访问存储在有形永久机器可读介质中的3D‑IC模型,在计算机处理器中输入功率曲线,基于3D‑IC模型生成瞬态温度曲线,确定在相应的运行时间间隔中和3D‑IC设计的多个点的相应位置上的潜在热惩罚,以及输出表示潜在热惩罚的数据。该3D‑IC模型表示包括堆叠地配置的多个元件的3D‑IC设计。将作为运行时间的函数的功率曲线应用于该3D‑IC设计的多个元件。瞬态的温度曲线包括在3D‑IC设计的多点处为运行时间的函数的温度。本发明还提供了一种用于堆叠IC设计中的跨芯片热和功率管理的系统和方法。
申请公布号 CN103714189B 申请公布日期 2017.04.12
申请号 CN201310046336.7 申请日期 2013.02.05
申请人 台湾积体电路制造股份有限公司 发明人 傅宗民;沈武;黄博祥;游孟福;余基业
分类号 G06F17/50(2006.01)I 主分类号 G06F17/50(2006.01)I
代理机构 北京德恒律治知识产权代理有限公司 11409 代理人 章社杲;孙征
主权项 一种计算机实现方法,包括:访问存储在有形永久机器可读介质中的三维集成电路模型,所述模型表示用于在一条件下制造和运行的三维集成电路设计,所述三维集成电路设计包括:堆叠配置的多个元件;在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数并且被应用于在所述条件下运行的所述三维集成电路设计中的所述多个元件;基于在功率输入和所述条件下运行的所述三维集成电路设计,根据所述三维集成电路模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述三维集成电路设计的多点处的温度,其中,所述三维集成电路设计中的所述多个元件中的每个元件均表示为热阻容单元;基于所述三维集成电路设计识别相应运行时间间隔和所述多点的相应位置处的潜在热惩罚;以及将表示所述三维集成电路设计中的所述相应运行时间间隔和所述相应位置处的所述潜在热惩罚的数据输出。
地址 中国台湾新竹