发明名称 Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch
摘要 A method for detecting contamination on a patterned substrate includes: performing a via etch operation on a substrate, wherein the via etch operation is configured to define a via feature on the substrate and expose an etch-stop layer at a bottom of the via feature; performing an etch-stop removal operation on the substrate, wherein the etch-stop removal operation is configured for removing the etch-stop layer at the bottom of the via feature to expose a metallic feature underlying the etch-stop layer; applying an electroless deposition solution to the substrate, the applied electroless deposition solution configured for selectively depositing a metallic material over the exposed metallic feature and on metallic contaminants on exposed surfaces of the substrate, the metallic contaminants being generated from the metallic feature during the etch-stop removal operation; performing an inspection operation on the substrate to identify the metallic contaminants that have been deposited with the metallic material.
申请公布号 US9287183(B1) 申请公布日期 2016.03.15
申请号 US201514675350 申请日期 2015.03.31
申请人 Lam Research Corporation 发明人 Zhao Larry;Kolics Artur;Nalla Praveen
分类号 H01L21/66;H01L21/02;H01L21/768 主分类号 H01L21/66
代理机构 Martine Penilla Group, LLP 代理人 Martine Penilla Group, LLP
主权项 1. A method for detecting contamination on a patterned substrate, comprising: performing a via etch operation on a substrate, wherein the via etch operation is configured to define a via feature on the substrate and expose an etch-stop layer at a bottom of the via feature; performing an etch-stop removal operation on the substrate, wherein the etch-stop removal operation is configured for removing the etch-stop layer at the bottom of the via feature to expose a metallic feature underlying the etch-stop layer; applying an electroless deposition solution to the substrate, the applied electroless deposition solution being configured for selectively depositing a metallic material over the exposed metallic feature and on metallic contaminants on exposed surfaces of the substrate, the metallic contaminants being generated from the metallic feature during the etch-stop removal operation; performing an inspection operation on the substrate to identify the metallic contaminants that have been deposited with the metallic material.
地址 Fremont CA US