发明名称 Reducing repeater power
摘要 A method, system and computer-readable medium for reducing repeater power and crosstalk are provided. The method includes generating a model of a circuit including a plurality of original repeaters connected between at least one source and at least one sink, performing a power optimization analysis on the plurality of original repeaters to change the plurality of original repeaters to low-power repeaters based on predetermined optimization parameters, performing a crosstalk analysis on the model of the circuit including the low-power repeaters to determine whether a crosstalk timing violation exists, and changing at least one of the low-power repeaters to a higher-power repeater when it is determined that a crosstalk violation exists, and leaving the low-power repeaters in the model of the circuit when it is determined that a crosstalk violation does not exist.
申请公布号 US9223918(B2) 申请公布日期 2015.12.29
申请号 US201213447751 申请日期 2012.04.16
申请人 GLOBALFOUNDRIES INC. 发明人 Kartschoke Paul D.;Matheny Adam P.;Neves Jose L.
分类号 G06F17/50 主分类号 G06F17/50
代理机构 Cantor Colburn LLP 代理人 Cantor Colburn LLP
主权项 1. A method, comprising: generating a model of a circuit including a plurality of original repeaters connected between at least one source and at least one sink; wherein a subset of said plurality of original repeaters are original repeaters that drive a net in the model of the circuit that couples to other nets in the model of the circuit; performing, with a power optimization unit, a power optimization analysis on the plurality of original repeaters that are not in said subset to change the plurality of non-subset original repeaters to low-power repeaters based on predetermined optimization parameters; wherein said power optimization unit is configured to withhold said power optimization analysis from said plurality of subset original repeaters that are original repeaters that drive a net in the model of the circuit that couples to other nets in the model of the circuit; performing, with a crosstalk analysis unit, a crosstalk analysis on the model of the circuit including the low-power repeaters to determine whether said change of the plurality of non-subset original repeaters to low-power repeaters resulted in a crosstalk timing violation; and changing, with the crosstalk analysis unit, at least one of the low-power repeaters to a higher-power repeater when it is determined that said change of the plurality of non-subset original repeaters to low-power repeaters resulted in a crosstalk violation, and leaving the low-power repeaters in the model of the circuit when it is determined that said change of the plurality of non-subset original repeaters to low-power repeaters did not result in a crosstalk violation.
地址 Grand Cayman KY