发明名称 多端口平衡器件测试及任意阻抗转换方法
摘要 本发明公开了一种多端口平衡器件测试及任意阻抗转换方法。该方法通过混合模S参数与传统S参数关系的公式推导,在原有多端口矢量网络分析仪测量多端口器件S参数基础上,可以直接得到混合模S参数,无需硬件的更改;该方法还可以对平衡器件的端口阻抗进行变换,方便设计人员观察阻抗变换后的参数值。本发明方法适用于任意端口的平衡参数转换、平衡和单端组合方式的参数转换以及阻抗值的参数转换,便于设计人员测试设计的电路。
申请公布号 CN105092973A 申请公布日期 2015.11.25
申请号 CN201510455573.8 申请日期 2015.07.30
申请人 中国电子科技集团公司第四十一研究所 发明人 庄志远;李树彪;郭永瑞;袁国平;刘丹;李明太;赵立军
分类号 G01R27/02(2006.01)I;H04L12/26(2006.01)I 主分类号 G01R27/02(2006.01)I
代理机构 济南舜源专利事务所有限公司 37205 代理人 朱玉建
主权项 多端口平衡器件测试及任意阻抗转换方法,其特征在于,包括如下步骤:a、构造拓扑结构定义一个N端口网络,共有n个端口;每个端口的端口电压为V<sub>1</sub>、V<sub>2</sub>、…V<sub>n</sub>,端口电流为I<sub>1</sub>、I<sub>2</sub>、…I<sub>n</sub>;该N端口网络有p个差分端口和(n‑p)个单端端口;定义差分端口j、k的差分电压为V<sub>djk</sub>、差分电流为I<sub>djk</sub>、共模电压为V<sub>cjk</sub>、共模电流为I<sub>cjk</sub>;将差分端口划分到N端口网络的一端,将单端端口划分到N端口网络的另一端;b、根据上述拓扑结构,针对平衡端口构建阻抗矩阵:<maths num="0001" id="cmaths0001"><math><![CDATA[<mrow><msub><mi>X</mi><mrow><mi>a</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>j</mi></msub><mo>+</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>j</mi></msub><mo>-</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mrow><mo>-</mo><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>k</mi></msub><mo>+</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mrow></mtd><mtd><mrow><mo>-</mo><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>k</mi></msub><mo>-</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mrow></mtd></mtr><mtr><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>+</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>-</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>+</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>-</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd></mtr></mtable></mfenced></mrow>]]></math><img file="FDA0000770665480000011.GIF" wi="1586" he="366" /></maths><maths num="0002" id="cmaths0002"><math><![CDATA[<mrow><msub><mi>X</mi><mrow><mi>b</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>j</mi></msub><mo>-</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>j</mi></msub><mo>+</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mrow><mo>-</mo><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>k</mi></msub><mo>-</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mrow></mtd><mtd><mrow><mo>-</mo><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><mn>2</mn><msub><mi>Z</mi><mi>k</mi></msub><mo>+</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>d</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mrow></mtd></mtr><mtr><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>-</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>j</mi></msub><mo>+</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>j</mi></msub></msqrt><msub><mi>Z</mi><mi>j</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>-</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd><mtd><mfrac><mrow><mo>|</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>|</mo><mrow><mo>(</mo><msub><mi>Z</mi><mi>k</mi></msub><mo>+</mo><mn>2</mn><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>)</mo></mrow><msqrt><msub><mi>R</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub></msqrt></mrow><mrow><mn>4</mn><msqrt><msub><mi>R</mi><mi>k</mi></msub></msqrt><msub><mi>Z</mi><mi>k</mi></msub><mrow><mo>|</mo><msub><mi>Z</mi><mrow><mi>c</mi><mi>j</mi><mi>k</mi></mrow></msub><mo>|</mo></mrow></mrow></mfrac></mtd></mtr></mtable></mfenced><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>1</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000012.GIF" wi="1814" he="362" /></maths>式(1)中,X<sub>ajk</sub>是入射波阻抗矩阵;X<sub>bjk</sub>是反射波阻抗矩阵;Z<sub>j</sub>、Z<sub>k</sub>是端口j、k单端阻抗值;R<sub>j</sub>、R<sub>k</sub>是端口j、k单端阻抗实部值;Z<sub>djk</sub>是平衡端口j、k的差模阻抗值;Z<sub>cjk</sub>是平衡端口j、k的共模阻抗值;R<sub>djk</sub>是平衡端口j、k的差模阻抗实部值;R<sub>cjk</sub>是平衡端口j、k的共模阻抗实部值;c、获取单端口S参数下,端口i的阻抗值Z<sub>i</sub>,平衡端口j、k下差模阻抗为Z<sub>djk</sub>,共模阻抗为Z<sub>cjk</sub>;d、根据上述拓扑结构,构造矩阵<img file="FDA0000770665480000013.GIF" wi="504" he="86" />构造过程使用公式(2)~(8);对于整体的混合模S参数,波量表示为:<img file="FDA0000770665480000021.GIF" wi="1398" he="751" /><img file="FDA0000770665480000022.GIF" wi="1742" he="761" />式(2)中,<img file="FDA0000770665480000023.GIF" wi="180" he="70" />是混合模下的反射波量、入射波量;w是单端口下的反射波、入射波向量;w<sub>i</sub>是单端口下的发射波、入射波的单个分量;b<sub>djk</sub>、b<sub>cjk</sub>是平衡端口反射波的差模波量、共模波量;a<sub>djk</sub>、a<sub>cjk</sub>是平衡端口如射波的差模波量、共模波量;b<sub>i</sub>、a<sub>i</sub>是单端口的反射波量、入射波量;X<sub>a</sub>、X<sub>b</sub>、Q<sub>1</sub>、P<sub>1</sub>是中间复杂矩阵的简化表示;对于混合模S参数,其与入射波、反射波的关系如下:<maths num="0003" id="cmaths0003"><math><![CDATA[<mrow><mover><mi>b</mi><mo>&CenterDot;</mo></mover><mo>&equiv;</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mover><mi>a</mi><mo>&CenterDot;</mo></mover><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>3</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000024.GIF" wi="1725" he="82" /></maths>其中:<img file="FDA0000770665480000025.GIF" wi="49" he="70" />是混合模S参数;<maths num="0004" id="cmaths0004"><math><![CDATA[<mrow><mtable><mtr><mtd><mrow><mover><mi>b</mi><mo>&CenterDot;</mo></mover><mo>&equiv;</mo><msup><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>b</mi><mrow><mi>d</mi><mn>12</mn></mrow></msub></mtd><mtd><msub><mi>b</mi><mrow><mi>d</mi><mn>34</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>b</mi><mrow><mi>d</mi><mrow><mo>(</mo><mi>p</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mi>p</mi></mrow></msub></mtd><mtd><msub><mi>b</mi><mrow><mi>c</mi><mn>12</mn></mrow></msub></mtd><mtd><msub><mi>b</mi><mrow><mi>c</mi><mn>34</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>b</mi><mrow><mi>c</mi><mrow><mo>(</mo><mi>p</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mi>p</mi></mrow></msub></mtd><mtd><msub><mi>b</mi><mrow><mi>p</mi><mo>+</mo><mn>1</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>b</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msub></mtd><mtd><msub><mi>b</mi><mi>n</mi></msub></mtd></mtr></mtable></mfenced><mi>T</mi></msup></mrow></mtd></mtr><mtr><mtd><mrow><mover><mi>a</mi><mo>&CenterDot;</mo></mover><mo>&equiv;</mo><msup><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>a</mi><mrow><mi>d</mi><mn>12</mn></mrow></msub></mtd><mtd><msub><mi>a</mi><mrow><mi>d</mi><mn>34</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>a</mi><mrow><mi>d</mi><mrow><mo>(</mo><mi>p</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mi>p</mi></mrow></msub></mtd><mtd><msub><mi>a</mi><mrow><mi>c</mi><mn>12</mn></mrow></msub></mtd><mtd><msub><mi>a</mi><mrow><mi>c</mi><mn>34</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>a</mi><mrow><mi>c</mi><mrow><mo>(</mo><mi>p</mi><mo>-</mo><mn>1</mn><mo>)</mo></mrow><mi>p</mi></mrow></msub></mtd><mtd><msub><mi>a</mi><mrow><mi>p</mi><mo>+</mo><mn>1</mn></mrow></msub></mtd><mtd><mn>...</mn></mtd><mtd><msub><mi>a</mi><mrow><mi>n</mi><mo>-</mo><mn>1</mn></mrow></msub></mtd><mtd><msub><mi>a</mi><mi>n</mi></msub></mtd></mtr></mtable></mfenced><mi>T</mi></msup></mrow></mtd></mtr></mtable><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>4</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000026.GIF" wi="1719" he="206" /></maths>对于单端口参数:<maths num="0005" id="cmaths0005"><math><![CDATA[<mrow><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>a</mi><mn>1</mn></msub></mtd></mtr><mtr><mtd><msub><mi>a</mi><mn>2</mn></msub></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><msub><mi>a</mi><mi>n</mi></msub></mtd></mtr><mtr><mtd><msub><mi>b</mi><mn>1</mn></msub></mtd></mtr><mtr><mtd><msub><mi>b</mi><mn>2</mn></msub></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><msub><mi>b</mi><mi>n</mi></msub></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><mn>1</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>0</mn></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mn>1</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>0</mn></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mn>1</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>0</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>1</mn></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mn>0</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>1</mn></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd></mtr><mtr><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mrow></mrow></mtd><mtd><mo>.</mo></mtd><mtd><mn>0</mn></mtd><mtd><mrow></mrow></mtd><mtd><mn>1</mn></mtd></mtr></mtable></mfenced><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>a</mi><mn>1</mn></msub></mtd></mtr><mtr><mtd><msub><mi>b</mi><mn>1</mn></msub></mtd></mtr><mtr><mtd><msub><mi>a</mi><mn>2</mn></msub></mtd></mtr><mtr><mtd><msub><mi>b</mi><mn>2</mn></msub></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><mo>.</mo></mtd></mtr><mtr><mtd><msub><mi>a</mi><mi>n</mi></msub></mtd></mtr><mtr><mtd><msub><mi>b</mi><mi>n</mi></msub></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>P</mi><mn>2</mn></msub></mtd></mtr><mtr><mtd><msub><mi>Q</mi><mn>2</mn></msub></mtd></mtr></mtable></mfenced><mi>w</mi><mo>=</mo><mi>Z</mi><mi>w</mi><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>5</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000031.GIF" wi="1684" he="682" /></maths>根据矩阵理论,矩阵Q有如下关系:Z<sup>‑1</sup>=Z<sup>T</sup>(6)对于平衡的反射波、入射波:<img file="FDA0000770665480000032.GIF" wi="1536" he="832" /><img file="FDA0000770665480000033.GIF" wi="1704" he="836" />将公式(2)和(5)代入上式(7)得到:<maths num="0006" id="cmaths0006"><math><![CDATA[<mrow><mtable><mtr><mtd><mrow><mover><mi>b</mi><mo>&CenterDot;</mo></mover><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><msub><mover><mi>w</mi><mo>&CenterDot;</mo></mover><mi>b</mi></msub><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>X</mi><mi>b</mi></msub></mtd><mtd><mn>0</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><msub><mi>Q</mi><mn>1</mn></msub></mtd></mtr></mtable></mfenced><mi>w</mi><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>X</mi><mi>b</mi></msub></mtd><mtd><mn>0</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><msub><mi>Q</mi><mn>1</mn></msub></mtd></mtr></mtable></mfenced><msup><mi>Z</mi><mi>T</mi></msup><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced><mo>=</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mi>b</mi></msub><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub></mtd><mtd><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub></mtd></mtr></mtable></mfenced><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced></mrow></mtd></mtr><mtr><mtd><mrow><mover><mi>a</mi><mo>&CenterDot;</mo></mover><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><msub><mover><mi>w</mi><mo>&CenterDot;</mo></mover><mi>a</mi></msub><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>X</mi><mi>a</mi></msub></mtd><mtd><mn>0</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><msub><mi>P</mi><mn>1</mn></msub></mtd></mtr></mtable></mfenced><mi>w</mi><mo>=</mo><mover><mi>Z</mi><mo>&CenterDot;</mo></mover><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mi>X</mi><mi>b</mi></msub></mtd><mtd><mn>0</mn></mtd></mtr><mtr><mtd><mn>0</mn></mtd><mtd><msub><mi>P</mi><mn>1</mn></msub></mtd></mtr></mtable></mfenced><msup><mi>Z</mi><mi>T</mi></msup><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced><mo>=</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mi>a</mi></msub><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced><mo>=</mo><mfenced open = '(' close = ')'><mtable><mtr><mtd><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub></mtd><mtd><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub></mtd></mtr></mtable></mfenced><mfenced open = '(' close = ')'><mtable><mtr><mtd><mi>a</mi></mtd></mtr><mtr><mtd><mi>b</mi></mtd></mtr></mtable></mfenced></mrow></mtd></mtr></mtable><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>8</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000041.GIF" wi="1684" he="319" /></maths>e、使用矢量网络分析仪测量平衡器件,得到器件的全部S参数;f、由于<maths num="0007" id="cmaths0007"><math><![CDATA[<mrow><mtable><mtr><mtd><mrow><mover><mi>b</mi><mo>&CenterDot;</mo></mover><mo>=</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub><mi>b</mi></mrow></mtd></mtr><mtr><mtd><mrow><mover><mi>a</mi><mo>&CenterDot;</mo></mover><mo>=</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub><mi>b</mi></mrow></mtd></mtr></mtable><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>9</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000042.GIF" wi="1504" he="165" /></maths>所以:<maths num="0008" id="cmaths0008"><math><![CDATA[<mrow><mtable><mtr><mtd><mrow><mover><mi>b</mi><mo>&CenterDot;</mo></mover><mo>=</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mover><mi>a</mi><mo>&CenterDot;</mo></mover></mrow></mtd></mtr><mtr><mtd><mrow><mo>&DoubleRightArrow;</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub><mi>b</mi><mo>=</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mrow><mo>(</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub><mi>b</mi><mo>)</mo></mrow></mrow></mtd></mtr><mtr><mtd><mrow><mo>&DoubleRightArrow;</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub><mi>S</mi><mi>a</mi><mo>=</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mrow><mo>(</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub><mi>a</mi><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub><mi>S</mi><mi>a</mi><mo>)</mo></mrow></mrow></mtd></mtr><mtr><mtd><mrow><mo>&DoubleRightArrow;</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub><mi>S</mi><mo>=</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mrow><mo>(</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub><mi>S</mi><mo>)</mo></mrow></mrow></mtd></mtr><mtr><mtd><mrow><mo>&DoubleRightArrow;</mo><mover><mi>S</mi><mo>&CenterDot;</mo></mover><mo>=</mo><mrow><mo>(</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>1</mn></mrow></msub><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>b</mi><mn>2</mn></mrow></msub><mi>S</mi><mo>)</mo></mrow><msup><mrow><mo>(</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>1</mn></mrow></msub><mo>+</mo><msub><mover><mi>X</mi><mo>&CenterDot;</mo></mover><mrow><mi>a</mi><mn>2</mn></mrow></msub><mi>S</mi><mo>)</mo></mrow><mrow><mo>-</mo><mn>1</mn></mrow></msup></mrow></mtd></mtr></mtable><mo>-</mo><mo>-</mo><mo>-</mo><mrow><mo>(</mo><mn>10</mn><mo>)</mo></mrow></mrow>]]></math><img file="FDA0000770665480000045.GIF" wi="1750" he="475" /></maths>利用上述公式(9)、(10),计算得到混合模S参数。
地址 266555 山东省青岛市经济技术开发区香江路98号