发明名称 Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
摘要 The present disclosure provides a method of fabricating a semiconductor device. The method includes providing a semiconductor substrate with a first region and a second region, forming a high-k dielectric layer over the semiconductor substrate, forming a metal layer over the high-k dielectric layer, the metal layer having a first work function, protecting the metal layer in the first region, treating the metal layer in the second region with a de-coupled plasma that includes carbon and nitrogen, and forming a first gate structure in the first region and a second gate structure in the second region. The first gate structure includes the high-k dielectric layer and the untreated metal layer. The second gate structure includes the high-k dielectric layer and the treated metal layer.
申请公布号 US8778754(B2) 申请公布日期 2014.07.15
申请号 US200912364289 申请日期 2009.02.02
申请人 Taiwan Semiconductor Manufacturing Company, Ltd. 发明人 Lin Su-Horng
分类号 H01L21/8238 主分类号 H01L21/8238
代理机构 Haynes and Boone, LLP 代理人 Haynes and Boone, LLP
主权项 1. A method of fabricating a semiconductor device comprising: providing a semiconductor substrate with a first region and a second region; forming a high-k dielectric layer over the semiconductor substrate; forming a metal layer over the high-k dielectric layer, the metal layer having a first work function; protecting the metal layer in the first region by forming a mask over the metal layer in the first region, the mask being a photoresist mask; treating the unprotected metal layer in the second region with a de-coupled plasma process that includes carbon, nitrogen, and silicon, wherein the treating the unprotected metal layer in the second region with the de-coupled plasma includes incorporating the carbon, nitrogen, and silicon into the unprotected metal layer without the carbon, nitrogen, and silicon penetrating to a bottom surface of the unprotected metal layer, the bottom surface of the unprotected metal layer physically contacting the high-k dielectric layer, wherein the unprotected metal layer has a top surface facing away from the semiconductor substrate and opposing the bottom surface such that a thickness of the unprotected metal layer is measured from the bottom surface to the top surface of the unprotected metal layer, wherein after treating the unprotected metal layer in the second region with the de-coupled plasma process the unprotected metal layer includes a region extending from the bottom surface of the unprotected metal layer toward the top surface of the unprotected metal layer that is free of the carbon, nitrogen, and silicon incorporated into the unprotected metal layer via the de-coupled plasma process, wherein the region extends away from the bottom surface by at least 10% of the thickness of the unprotected metal layer; performing an annealing process on the treated unprotected metal layer and the protected metal layer having the mask there over in the first region to secure the carbon and nitrogen into the treated unprotected metal layer; forming a polysilicon layer over the metal layer in the first region and over the treated metal layer in the second region; forming a hard mask layer over the polysilicon layer in the first and second regions; forming a patterned photoresist layer over the hard mask layer in the first and second regions; and thereafter forming a first gate structure in the first region and a second gate structure in the second region, the first gate structure including the high-k dielectric layer and the metal layer, the second gate structure including the high-k dielectric layer and the treated metal layer.
地址 Hsin-Chu TW