发明名称 System and method for designing multiple clock domain circuits
摘要 A Hardware Description Language (HDL) utilizing a Term Rewriting System (TRS) is provided that simplifies handling of clocks, and signaling between various clock domains of a multi-clock domain circuit specification. A specific clock data type is supplied for use with clock signals. Using the clock data type, and other requirements of a circuit specification, clock domain crossing between domains of clocks of the same clock family is handled implicitly. For clock domain crossing between clock domains driven by clocks of different clock families, a "hardware approach" and a "linguistic approach" are provided. A "hardware approach" provides facilities to explicitly specify a synchronizer, using, in part, TRS rules. A "linguistic approach" allows a designer to abstracts the instantiation of synchronizers and instead specify a circuit specification in terms of differently clocked interfaces.
申请公布号 US8572534(B2) 申请公布日期 2013.10.29
申请号 US20100706470 申请日期 2010.02.16
申请人 CZECK EDWARD W.;NANAVATI RAVI A.;NIKHIL RISHIYUR S.;STOY JOSEPH E.;BLUESPEC, INC. 发明人 CZECK EDWARD W.;NANAVATI RAVI A.;NIKHIL RISHIYUR S.;STOY JOSEPH E.
分类号 G06F9/455;G06F17/50 主分类号 G06F9/455
代理机构 代理人
主权项
地址