发明名称 Method improving integrated circuit planarization during etchback
摘要 An integrated circuit fabrication method begins with semiconductor devices formed on a substrate. A patterned metal layer is deposited on the substrate to connect the semiconductor devices. A nitride layer is deposited over the metal layer and substrate. The nitride layer topography comprises hills located over metal regions and valleys located over non-metal regions. Spin-on-glass (SOG) is deposited over the nitride layer, thereby filling the valleys and covering the hills. The SOG layer and the nitride layer hills are etched back at substantially the same etch rate, using plasma etching, to form a planar surface. An oxide layer is then deposited over the planar surface to encapsulate the semiconductor devices, metal layer, nitride layer and SOG layer. Vias may then be etched through the oxide layer and the nitride layer to expose portions of the underlying metal layer and facilitate upper layer metal connections thereto. A second metal layer is deposited on the oxide layer and the fabrication process continues until the integrated circuit is complete.
申请公布号 US5399533(A) 申请公布日期 1995.03.21
申请号 US19930161642 申请日期 1993.12.01
申请人 VLSI TECHNOLOGY, INC. 发明人 PRAMANIK, DIPANKAR;JAIN, VIVEK;WELING, MILIND
分类号 H01L21/768;(IPC1-7):H01R/;H01R4/64 主分类号 H01L21/768
代理机构 代理人
主权项
地址