发明名称 一种专用集成电路中时钟树延迟时间的估计方法和系统
摘要 本发明公开了一种专用集成电路时钟树延迟时间的估计方法和系统,其中方法包括:获得该专用集成电路中与所述时钟树相关的网表和布局;根据与所述时钟树相关的网表,提取所述时钟树连接的负载时序器件的数量;根据与所述时钟树相关的布局,提取所述时钟树连接的负载时序器件的物理分布区域面积;根据与该专用集成电路同一工艺的历史数据中负载时序器件的数量、负载时序器件的物理分布区域面积与时钟树延迟时间的关系,估计该时钟树的延迟时间。使用该方法改进的专用集成电路设计方法将审计流程从周为单位减少到天,设计人员能够迅速发现问题和解决问题,因此减少了设计时间,提高了设计效率。
申请公布号 CN102169515B 申请公布日期 2014.04.16
申请号 CN201010117747.7 申请日期 2010.02.26
申请人 国际商业机器公司 发明人 葛亮;浦索明;徐晨;李恭琼
分类号 G06F17/50(2006.01)I 主分类号 G06F17/50(2006.01)I
代理机构 北京市中咨律师事务所 11247 代理人 于静;杨晓光
主权项 一种专用集成电路时钟树延迟时间的估计方法,包括,获得该专用集成电路中与所述时钟树相关的网表和布局;根据与所述时钟树相关的网表,提取所述时钟树连接的负载时序器件的数量;根据与所述时钟树相关的布局,提取所述时钟树连接的负载时序器件的物理分布区域面积;根据与该专用集成电路同一工艺的历史数据中负载时序器件的数量、负载时序器件的物理分布区域面积与时钟树延迟时间的关系,估计该时钟树的延迟时间,其中与该专用集成电路同一工艺的历史数据中负载时序器件的数量、负载时序器件的物理分布区域面积与时钟树延迟时间的关系以表的方式存储,通过查表估计该时钟树的延迟时间;其中根据与所述时钟树相关的布局,提取所述时钟树连接的负载时序器件的物理分布区域面积包括:获得与所述时钟树连接的全部负载时序器件的X维度和Y维度的布局坐标;获得与所述时钟树连接的全部负载时序器件的X维度布局坐标的最大值和最小值以及Y维度布局坐标的最大值和最小值;计算与所述时钟树连接的全部负载时序器件X维度布局坐标的最大值与时钟树的源端X维度坐标之差的绝对值作为第一绝对值;计算与所述时钟树连接的全部负载时序器件Y维度布局坐标的最大值与时钟树的源端Y维度坐标之差的绝对值作为第二绝对值;计算与所述时钟树连接的全部负载时序器件X维度布局坐标的最小值与时钟树的源端X维度坐标之差的绝对值作为第三绝对值;计算与所述时钟树连接的全部负载时序器件Y维度布局坐标的最小值与时钟树的源端Y维度坐标之差的绝对值作为第四绝对值;获得上述第一绝对值和第三绝对值的较大值,以及第二绝对值和第四绝对值的较大值;将获得的第一绝对值和第三绝对值的较大值,以及第二绝对值和第四绝对值的较大值进行加和从而获得所述时钟树连接的负载时序器件的物理分布区域面积。
地址 美国纽约
您可能感兴趣的专利