发明名称 Analogue-to-digital converter and method for using the same
摘要 An analogue-to-digital (A/D) converter converts an analogue input signal to a digital code representing the analogue input signal. The A/D converter includes a comparator for comparing the input signal with a reference signal, a search logic block for determining the digital code, and an A/D converter arranged for receiving input from the search logic block and for providing the reference signal to be applied to the comparator. At least a first portion of the A/D converter is implemented with equal capacitors and may be controlled by a thermometer coded signal. Additionally, the A/D converter may include a second portion implemented using binary weighted capacitors controlled by a thermometer coded or binary coded signal. The A/D converter may also include a plurality of A/D converters coupled by an analogue addition circuit or a weighted summing amplifier.
申请公布号 US7777662(B2) 申请公布日期 2010.08.17
申请号 US20080256994 申请日期 2008.10.23
申请人 STICHTING IMEC NEDERLAND 发明人 MEYNANTS GUY;SANTANA JUAN;VAN DEN HOVEN RICHARD
分类号 H03M1/34 主分类号 H03M1/34
代理机构 代理人
主权项
地址