发明名称 基2单路深度延时反馈的流水线构成FFT处理器的实现方法
摘要 本发明公开了一种基2单路深度延时反馈的流水线构成FFT处理器的实现方法,流水线的结构由四个复数加法器和一个复数乘法器以及两个蝶形处理单元组成,运算数据顺序流入,运算结果顺序流出;蝶形处理单元是模式可编程的,根据需要选择基4、基2或直通模式,因此长度为16的R2SD<SUP>2</SUP>F流水线能够分别用于计算基16、基8、基4和基2蝶形运算。本发明的方法通过更加合理地调度计算资源和减少重复运算,可以进一步提高运算单元的使用效率,减少资源需求。
申请公布号 CN1700203A 申请公布日期 2005.11.23
申请号 CN200510042828.4 申请日期 2005.06.16
申请人 中国航天时代电子公司第七七一研究所 发明人 杨靓;黄士坦;黄巾;刘红侠
分类号 G06F17/14 主分类号 G06F17/14
代理机构 西安通大专利代理有限责任公司 代理人 李郑建
主权项 1.基2单路深度延时反馈的流水线构成FFT处理器的实现方法,其特征在于,流水线的结构由四个复数加法器和一个复数乘法器以及两个蝶形处理单元组成,运算数据顺序流入,运算结果顺序流出;上述蝶形处理单元是模式可编程的,根据需要选择基4、基2或直通模式,使长度为16的R2SD2F流水线能够分别用于计算基16、基8、基4和基2蝶形运算;在流水线的每一级,均有三组延时寄存器,对于第p级来说,三组延时分别为:一组N/(2×4p-1)拍延时(Delay A,另外两组为N/4p拍延时Delay B&C,在这里N等于16;即第一蝶形处理单元的输出分别经过8、4、和4拍的延时反馈输入到其输入端,而第二蝶形处理单元的输出端分别经过8、4、和4拍的延时反馈输入到同一蝶形处理单元的输入端;第一蝶形处理单元的输出经过乘法器与旋转因子相乘后进入第二蝶形处理单元的一个输入端口,将两个蝶形处理单元联系起来构成一完整的流水线,待处理数据从第一蝶形处理单元的一个端口流入,最终结果从第二蝶形处理单元输出端口流出。
地址 710054陕西省西安市太乙路189号