发明名称 Multiple angles of incidence semiconductor metrology systems and methods
摘要 An apparatus includes (i) a bright light source for providing an illumination beam at multiple wavelengths selectable with a range from a deep ultraviolet wavelength to an infrared wavelength, (ii) illumination optics for directing the illumination beam towards a sample at selectable sets of angles of incidence (AOI's) or azimuth angles (AZ's) and polarization states to provide spectroscopic ellipsometry, wherein the illumination optics include an apodizer for controlling a spot size of the illumination beam on the sample at each of the selectable AOI/AZ sets, (iii) collection optics for directing an output beam from the sample in response to the illumination beam at each of the selectable AOI/AZ sets and polarization states towards a detector that generates an output signal or image based on the output beam, and (v) a controller for characterizing a feature of the sample based on the output signal or image.
申请公布号 US9310290(B2) 申请公布日期 2016.04.12
申请号 US201514745047 申请日期 2015.06.19
申请人 KLA-Tencor Corporation 发明人 Wang David Y.;Flock Klaus;Rotter Lawrence;Krishnan Shankar;de Veer Johannes D.;Filip Catalin;Brady Gregory;Arain Muzammil;Shchegrov Andrei
分类号 G01N21/00;G01N21/21;G01N21/95;G01N21/956 主分类号 G01N21/00
代理机构 Kwan & Olynick LLP 代理人 Kwan & Olynick LLP
主权项 1. An ellipsometer apparatus for performing metrology of a semiconductor sample, comprising: an illumination optics module for providing an illumination beam at a plurality of wavelengths that are selectable within a range from a vacuum ultraviolet (VUV) wavelength to an infrared (IR) wavelength and directing the illumination beam towards the sample at a plurality of angles of incidence (AOI's) and/or azimuth angles (AZ's), wherein the illumination optics comprises: a first off axis parabolic (OAP) mirror,a first translation mirror that is movable to receive the illumination beam at a plurality of positions to direct the illumination beam to a plurality of positions on the first OAP mirror so that the first OAP reflects the illumination beam to the sample at the discrete ranges of AOI and/or AZ one at a time, andpolarization generating optical elements for generating a plurality of polarization states for the illumination beam; a collection optics module for collecting an output beam emanating from the sample at a plurality of discrete ranges of AOI and/or AZ and directing such output beam to a detector module, wherein the discrete ranges are collected one at a time and the output beam being in response to the illumination beam on the sample, wherein the collection optics module comprises: a detector,a second OAP,a second translation mirror that is movable to receive the output beam at a plurality of positions to direct the output beam to a plurality of positions on the second OAP mirror so that the second OAP reflects the output beam at the discrete ranges of AOI and/or AZ to the detector one at a time, andpolarization analyzing optical elements for analyzing the polarization state of the output beam; the detection module for receiving and detecting the output beam from the sample at the discrete ranges of AOI and/or AZ and the polarization states and generating a plurality of signals based on the output beam at the discrete ranges of AOI and/or AZ and the polarization states; and one or more controllers that are each configured to control one or more of the following: selecting a wavelength range, selecting one or more of the discrete ranges of AOI and/or AZ for collection of the output beam, selecting the polarization states, and analyzing the signals at the discrete ranges of AOI and/or AZ and the polarization states to determine a characteristic of the sample, wherein the illumination optics module and the collections optics modules comprises reflective optical elements between the polarization generation optical elements and the polarization analyzing optical elements.
地址 Milpitas CA US