发明名称 Nanowire Capacitor for Bidirectional Operation
摘要 A method of fabricating an electronic device includes the following steps. At least one first set and at least one second set of nanowires and pads are etched in an SOI layer of an SOI wafer. A first gate stack is formed that surrounds at least a portion of each of the first set of nanowires that serves as a channel region of a capacitor device. A second gate stack is formed that surrounds at least a portion of each of the second set of nanowires that serves as a channel region of a FET device. Source and drain regions of the FET device are selectively doped. A first silicide is formed on the source and drain regions of the capacitor device that extends at least to an edge of the first gate stack. A second silicide is formed on the source and drain regions of the FET device.
申请公布号 US2014209854(A1) 申请公布日期 2014.07.31
申请号 US201313751490 申请日期 2013.01.28
申请人 INTERNATIONAL BUSINESS MACHINES CORPORATION 发明人 Bangsaruntip Sarunya;Majumdar Amlan;Sleight Jeffrey W.
分类号 H01L21/77;H01L29/06 主分类号 H01L21/77
代理机构 代理人
主权项 1. A method of fabricating an electronic device, comprising the steps of: providing a SOI wafer having an SOI layer over a BOX; etching at least one first set of nanowires and first set pads in the SOI layer and at least one second set of nanowires and second set of pads in the SOI layer, wherein the first set of pads are attached at opposite ends of the first set of nanowires in a ladder-like configuration and wherein the second set of pads are attached at opposite ends of the second set of nanowires in a ladder-like configuration; forming a first gate stack that surrounds at least a portion of each of the first set of nanowires that serves as a channel region of a capacitor device, wherein portions of the first set of nanowires extending out from the gate stack and the first set of pads serve as source and drain regions of the capacitor device; forming a second gate stack that surrounds at least a portion of each of the second set of nanowires that serves as a channel region of a field effect transistor (FET) device, wherein portions of the second set of nanowires extending out form the gate stack and the second set of pads serve as source and drain regions of the FET device; selectively doping the source and drain regions of the FET device; forming a first silicide on the source and drain regions of the capacitor device that extends at least to an edge of the first gate stack; and forming a second silicide on the source and drain regions of the FET device.
地址 Armonk NY US